Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday

Size: px
Start display at page:

Download "Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI. Midterm project reports due this Friday"

Transcription

1 EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 17 Low-Power Design: Dynamic Body Bias Energy Recovery in CMOS SOI Announcements Midterm project reports due this Friday Please post links on your project web page Homework #3 due after the Spring break 2 1

2 Class Material Last lecture Dynamic voltage scaling Leakage control Today s lecture Dynamic body bias Energy recovery techniques Silicon-on-insulator 3 Dynamic Body Bias Similar concept to dynamic voltage scaling Control loop adjusts the substrate bias to meet the timing Can be used just as runtime/sleep Limited range of threshold adjustments (<100mV) Limited leakage reduction (<10x) No delay penalty Can increase speed by forward bias Energy cost of charging/discharging the substrate capacitance 4 2

3 Dynamic Body Bias 5 VTCMOS Variants Courtesy of IEEE Press, New York

4 Substrate Bias in VT Kuroda, JSSC 11/96 7 Dynamic Body Bias PMOS bias NMOS bias V HIGH PMOS bias NMOS bias V LOW Dual-V T core 450mV FBB 450mV FBB 500mV RBB 500mV RBB V CC PMOS body NMOS body V SS PMOS body V CC V SS NMOS body Active mode Forward body bias (FBB) Local V CC tracking Idle mode Reverse body bias (RBB) Triple well needed Tschanz, ISSCC

5 Substrate Bias Effect VTH = VT 0 γ 2φF VBS VT 0 γ1v BS 9 Body Bias Layout ALU Sleep transistor LBGs ALU core LBGs Number of ALU core LBGs Number of sleep transistor LBGs PMOS device width mm Area overhead 8% ALU core LBGs Sleep transistor LBGs 10 5

6 Leakage Power Savings vs. Decap Normalized leakage power in idle mode V, 75 C Low-leakage 133nF decap on virtual V CC No decap on virtual V CC 40% 90% 1.32V 75 C 0 10ns ms 1 10ms 100ms ms Idle time Minimize capacitance on virtual V CC Dual-V T core Virtual V CC Overhead: charging & discharging of virtual V CC capacitance 11 Decoupling Capacitor Placement Dual-V T core Oxide leakage Dual-V T core Longer time constant Reduced leakage Decap on full supply Performance Convergence time Oxide leakage savings Decap on virtual supply 12 6

7 Total Active Power Savings (Fixed activity: a = 0.05) Total power power savings savings 20% 15% 10% 5% Number of consecutive active cycles (T ON ) PMOS sleep transistor (1.32V) Body bias (1.28V): active: FBB, idle: ZBB Max 18% Max 8% Power savings for T OFF > ~100 idle cycles 0% Number of Number consecutive of idle idle cycles cycles (T OFF ) Reference: 450mV FBB to core with clock gating, V, 4.05GHz, 75 C Body Biasing Body biasing with a local control loop can be used to lower the impact of process variations Used to limit die-to-die and within-die variations 14 7

8 Normalized Delay vs V DD & V TH 1.8? VTH= VDD =1.0 V Normalized Delay ±0.15V ±0.05V 1.5 V 3.0 V 5.0 V Sakurai, Kuroda VTH (V) 15 Self-Adjusting Threshold-Voltage Scheme (SATS) 16 8

9 SATS Experimental Results 17 Substrate Biasing Tschanz, JSSC 11/

10 Effectiveness of Substrate Bias Die-to-die variations 19 Effectiveness of Substrate Bias Within-die variations 20 10

11 Dynamic Voltage Scaled Microprocessor VT VS User Logic PLL TX3900 External V DD 3.3V±10% Internal V DDL 0.8V~2.9V ±5% Power Dissipation (mw) Measurement Theory CMOS: V DD =3.3V VS scheme: Internal V DD =optimize Operating Frequency (MHz) Courtesy: Prof. Kuroda 21 Adapting V DD and V TH Miyazaki, ISSCC

12 Adapting V DD and V TH Miyazaki, ISSCC Optimal EDP Contours 24 12

13 Sizing, Supply, Threshold Optimization Reference Design: D ref (V dd max,v th ref ) Topology Inv Add Dec (E Lk /E Sw ) ref 0.1% 1% 10% Large variation in optimal circuit parameters V dd opt, V th opt, w opt V dd max V th max V dd min V th min Technology parameters (V max dd, V ref th ) rarely optimal 25 Adiabatic Circuits R t r C ADIABATIC CHARGING E = (RC/t r )CV 2 (for t r >> RC) Applying slow input slopes reduces E below CV 2 Useful for driving large capacitors (Buffers) Power reduction > 4 for pad drivers (1 MHz) ISI 26 13

14 Adiabatic Computing Basic Concepts When charging a capacitor through RC-network with a slowly changing ramp, power dissipation is reduced by reducing the slope of the ramp. No switch should ever be enabled when a voltage is over it Make sure every node is reset to the original stage before performing the next operation! reversible computing -> take energy back to the source -> ensure that state is known 27 Adiabatic Computing Principles of storing and erasing information: Energy dissipation of the combinational logic can be made arbitrarily small by operating the circuit slowly enough Information can be loaded into memory circuits dissipating only arbitrarily small energy Information can be copied with arbitrarily small energy Erasing the last copy of a piece of information dissipates an irreducible finite amount of energy. Koller, Athas, PhysComp 92, Landauer, IBM J. ResDev

15 Six-Phase Charge Transfer One-bit delay Watkins, JSSC 12/67 29 Split-Level Charge Recovery Younis, Knight, IWLPD

16 Adiabatic Circuits A B C φ 0 φ 1 φ 2 Holding the inputs for the each stage until the output energy has been returned from Athas 31 Reversible Pipelines Make return path different In clk Logic return logic Out C Problem: always results in CV th2 /2 loss! 32 16

17 Reversible Pipelines φ 1 φ 3 d in φ 0 φ 2 φ i d i-1 d i φ 0 hold reset φ 1 d i+1 retrun φ 2 φ 3 33 Partially Erasable Latches Pck V 0 M 1 M 2 F 1 F 1 Output stays at V th M 3 M 4 Stored energy is ½ CV th2, vs. ½ CV 2 How to use this? 34 17

18 Partially Erasable Latches Pck M 1 M 2 F 1 F 1 Pck Pck 1 Pck Pck 1 F0 M 5 M 3 M 4 M 6 F0 Requires 4-clocks for interfacing Denker, ISLPED Single Pck + Auxiliary Clock Pck M 1 M 2 F µ 2. 4µ F µ 12. µ CX M 5 M 6 CX 2. 4µ 12. µ 2. 4µ 12. µ F µ 12. µ M 7 M 3 M µ 2. 4µ 12. µ 12. µ M µ 12. µ F0 Pck CX F0 0 1 Maksimovic et al, ISLPED 97 F A B 36 18

19 Clock Generation L Pck Principle V DD 2 Clk Q R C Logic Implementation L V G 0 Pck 1 f c Clk F 0 F 1 F 1 F2 F n 1 V B Enable Ck 720µ 12. µ Q F 0 F 0 Stage 1 F 1 F 1 Stage 2 F2 F 2 Stage n F n F n Clk 2 Q Q CX CX 37 Single Pck + Reference Voltages Cascading Gates: Kim, Papaefthymiou, ISLPED

20 Adiabatic mp Athas, et al, JSSC 12/97 Athas, et al, JSSC 11/00 39 Adiabatic mp 40 20

21 E-R Latch W/ dynamic logic W/ PTL 41 Other Ideas Charge recycling bus H. Yamauchi, et al, JSSC, 4/95 Adiabatic display driver J. Ammer, ISSCC 99 Various examples of charge-recycling logic 42 21

22 Silicon on Insulator (SOI) References: Chapter 5 by Shahidi, Assaderaghi, Antoniadis K. Bernstein, N.J. Rohrer, SOI Circuit Design Concepts, Kluwer K. Bernstein, ISSCC 00 SOI Tutorial 2001 Microprocessor Design Workshop, lectures by C.T Chuang and R. Preston Articles from Chandrakasan/Brodersen, IEEE Press SOI Transistor Bernstein, ISSCC

23 SOI Devices Partially depleted (PD) Pros: Easier to manufacture (Si thickness) Scalable, tolerance to variations Decoupling V T from Si thickness Cons: Floating body effects: I-V kink, parasitic bipolar effect Fully depleted (FD) Pros: Significantly reduced floating body effects Sharper subthreshold S Cons: V T is a function of the charge in the body varies Manufacturability, compatibility with bulk CMOS 45 Soi Microprocessors Comp. Processor Freq. Technology Comment Source IBM 64b Power GHz PD/SOI 0.08 um Leff, 7LM Cu ISSCC 01 IBM 64b Power4 (Test Chip) 1.00 GHz PD/SOI 0.08 um Leff, 7LM Cu IEDM 99 IBM 64b Power GHz PD/SOI 0.08 um Leff, 7LM Cu Hot Chip 99 EE Times 99 IBM 64b PowerPC 660 MHz PD/SOI 0.08 um Leff, 7LM Cu Migration from 0.12 um Leff ISSCC 00 IBM 64b PowerPC 550 MHz PD/SOI 0.12 um Leff, 6LM Cu Bulk 450 MHz 0.12 um Leff ISSCC 99 IBM 32b PowerPC (PowerPC750) 580 MHz PD/SOI 0.12 um Leff, 6LM Cu Bulk 480 MHz 0.12 um Leff ISSCC 99 Samsung 64b DEC Alpha 600 MHz FD/SOI 0.25 um Lgate, 4LM Al Bulk 433 MHz 0.35 um Lgate ISSCC 99 DEC StrongArm-110 (Core Only) 230 MHz (Tester Limit) PD/SOI 0.35 um 20% Perf. Over Bulk IEDM 97 From C.T. Chuang 46 23

24 SOI Design Advantages: Less Capacitance (~5-40%) Lower power Reduced effective V T, short channel effects, body effect Layout simplicity (no wells, plugs, ) Disadvantages: History-dependent timing Increased device leakage Body effect issues Self heating Decoupling capacitance 47 SOI Timing Issues µs constants ps constants Courtesy of IEEE Press, New York

25 Floating Body Effects in PD SOI Neither S or D junction biased body floats. Effects: Threshold Variability Kink in output characteristics PD FD 49 Floating Body Effects in PD SOI (cont d) Parasitic Bipolar Transistor 50 25

26 SOI Circuit Considerations Static circuits history-dependent delay First switch (often slowest) vs. second switch (often fastest) Bernstein, ISSCC Initial State Initial input at low nfet V B determined by back-to-back diodes pfet V B at V DD initially pfet V B before input falling transition determined by capacitive coupling Initial input at high nfet V B at GND initially nfet V B before input rising transition determined by capacitive coupling pfet V B determined by back-to-back diodes 52 26

27 First Two Transitions Courtesy of IEEE Press, New York History-Dependent Delay Bernstein, ISSCC

28 History-Dependent Delay 55 History-Dependent Delay Convergence to steady state Noise Margins! 56 28

29 Dynamic Circuits in SOI Dynamic History Bipolar effect Less charge sharing 57 Parasitic Bipolar Dynamic lookahead adder Cumulative Effect of Parasitic Bipolar Current and Propagated Noise Cause Data Corruption after 3rd Stage in The Chain Parasitic Bipolar Current VDD VDD T7 T8 T9 T10 XC0 XPCH PCH C0 T5 T6 xci ci Propagated Noise from ND2 Previous Stage T1 T2 T3 gz gp gg ND1 T0 CLK VDD GND C.T. Chuang (M. Canada et al., ISSCC, 1999) 58 29

30 Pre-discharging Nodes Intermediate nodes discharged to prevent parasitic bipolar effect Bulk Design SOI Design CLK CLK X X A0 B0 Y OUT A0 B0 Y OUT A1 B1 A1 B1 C.T. Chuang (D. H. Allen et al., ISSCC, 1999) 59 Dynamic Circuit Techniques Conditional Feedback CLK Setup Inputs during Precharge A B FB_L OUT Pre-discharge Intermediate Node Cross-connected Inputs (Stack swizzling) E F F E CLK Re-order Pulldown Tree C.T. Chuang (D. H. Allen et al., ISSCC, 1999) 60 30

31 Pass-Transistor Logic in SOI Inverter: Keeper: 61 PTL in SOI (Assaderaghi 94): DTMOS Example: V T = 0.4V at 0 V; 0.17V at 0.5 V 62 31

32 DTMOS Body and gate tied together 63 DTMOS ID vs. VDS for normal and DT operation 64 32

33 DTMOS Subthreshold currents for SOI NMOS and PMOS transistors with bodies grounded vs. DTMOS V SOI Pass-Gate Logic CPL Buffer type A Gate-body connection (GBC) Buffer type B Input-body connection (IBC) Fuse, et al, ISSCC

34 0.5V SOI Pass-Gate Logic 67 34

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

Very Low Voltage Testing of SOI Integrated Circuits

Very Low Voltage Testing of SOI Integrated Circuits Very Low Voltage Testing of SOI Integrated Circuits Eric MacDonald Nur A.Touba IBM Microelectronics Division Computer Engineering Research Center 114 Burnet Road Dept. of Electrical and Computer Engineering

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

P high-performance and portable applications. Methods for

P high-performance and portable applications. Methods for IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 3, MARCH 1995 311 Adiabatic Dynamic Logic Alex G. Dickinson and John S. Denker Abstract- With adiabatic techniques for capacitor charging, theory suggests

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Lecture 13 CMOS Power Dissipation

Lecture 13 CMOS Power Dissipation EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 13 CMOS Power Dissipation Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken,

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

THE basis for this processor design was a 350-MHz, 64-b

THE basis for this processor design was a 350-MHz, 64-b 1430 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 11, NOVEMBER 1999 A 0.2- m, 1.8-V, SOI, 550-MHz, 64-b PowerPC Microprocessor with Copper Interconnects Anthony G. Aipperspach, David H. Allen, Dennis

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Retractile Clock-Powered Logic

Retractile Clock-Powered Logic Retractile Clock-Powered Logic Nestoras Tzartzanis and William Athas {nestoras, athas}@isiedu URL: http://wwwisiedu/acmos University of Southern California Information Sciences Institute 4676 Admiralty

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

2ND ORDER ADIABATIC COMPUTATION WITH 2N-2P AND 2N-2N2P LOGIC CIRCUITS

2ND ORDER ADIABATIC COMPUTATION WITH 2N-2P AND 2N-2N2P LOGIC CIRCUITS 2ND ORDER ADIABATIC COMPUTATION WITH 2N-2P AND 2N-2N2P LOGIC CIRCUITS A. Kramer, J. S. Denker, B. Flower, J. Moroney AT&T Bell Laboratories Holmdel, NJ 07733 ABSTRACT Recent advances in compact, practical

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER

IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL ADDER Technology and Innovation for Sustainable Development Conference (TISD2006) Faculty of Engineering, Khon Kaen University, Thailand 25-26 January 2006 IMPLEMENTATION OF ADIABATIC DYNAMIC LOGIC IN BIT FULL

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

Processor Power and Power Reduction

Processor Power and Power Reduction EE-382M VLSI II Processor Power and Power Reduction Byron Krauter EE 382M Class Notes Foil # 1 Outline Power s Importance Why power matters even for desktop processors Power Estimation Active Power Leakage

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Design Considerations and Tools for Low-voltage Digital System Design

Design Considerations and Tools for Low-voltage Digital System Design Design Considerations and Tools for Low-voltage Digital System Design Anantha Chandrakasan, Isabel Yang, Carlin Vieri, Dimitri Antoniadis Department of EECS, Massachusetts Institute of Technology, Cambridge

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology.

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology. Silicon-On-Insulator A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology. By Ondrej Subrt The magic term of SOI is attracting a lot of attention in the design of

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic Journal of Electrical and Electronic Engineering 2015; 3(6): 181-186 Published online December 7, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150306.11 ISSN: 2329-1613 (Print);

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

Low Power Design. Prof. MacDonald

Low Power Design. Prof. MacDonald Low Power Design Prof. MacDonald Power the next challenge! l High performance thermal problems power is now exceeding 100-200 watts l difficult to remove heat from system l slows down circuits - mobilities

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

EE241 - Spring 2002 Advanced Digital Integrated Circuits

EE241 - Spring 2002 Advanced Digital Integrated Circuits EE241 - Spring 2002 dvanced Digital Integrated Circuits Lecture 7 MOS Logic Styles nnouncements Homework #1 due 2/19 1 Reading Chapter 7 in the text by K. ernstein ackground material from Rabaey References»

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic

Towards An Efficient Low Frequency Energy Recovery Dynamic Logic . Towards An Efficient Low Frequency Energy Recovery Dynamic Logic Submitted in partial fulfillment of the requirements for the Computer Science and Engineering Preliminary Examination by Sujay S. Phadke

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

IBM Research Report. Circuit Techniques Utilizing Independent Gate Control in Double-Gate Technologies

IBM Research Report. Circuit Techniques Utilizing Independent Gate Control in Double-Gate Technologies RC24279 (W0706-041) June 8, 2007 Electrical Engineering IBM Research Report Circuit Techniques Utilizing Independent Gate Control in Double-Gate Technologies Jente B. Kuang 1, Keunwoo Kim 2, Ching-Te Chuang

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11 Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo Krystina Tabangcura 7/25/11 Outline Single-bit Full Adder Different Topologies Simulation Setup

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Topics Low Power Techniques Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Rabaey Review: Energy & Power Equations E = C L V 2 DD P 0 1 +

More information

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8 EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 18: Dynamic Voltage Scaling Announcements Midterm feedback mailed back Homework #3 posted over the break due April 8 Reading: Chapter 5, 6,

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance EE241 - Spring 2006 dvanced Digital Integrated Circuits Lecture 7: Logic Families for Performance Notes Hw 1 due tomorrow Feedback on projects will be sent out by the end of the weekend Some thoughts on

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

Boost Logic : A High Speed Energy Recovery Circuit Family

Boost Logic : A High Speed Energy Recovery Circuit Family Boost Logic : A High Speed Energy Recovery Circuit Family Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Conrad H. Ziesler

More information