FinFET SPICE Modeling

Size: px
Start display at page:

Download "FinFET SPICE Modeling"

Transcription

1 FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015

2 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary 2015 Synopsys, Inc. 2

3 SPICE Models for IC Design EDA Manufacturing Device Modeling SPICE Models Interconnect Models Design Rules Fabrication GDSII IC Design Memory, SOC, Analogy/RF, HV, Display, Sensor, IPs The bridge between fabrication and IC design Key component of PDK 2015 Synopsys, Inc. 3

4 BSIM-CMG: Industry Standard Compact Model Various device structures Technology Bulk and SOI Channel materials: Si, SiGe, Ge, and InGaAs Production adoption for 16/14/10/7nm Extension and customization are required 2015 Synopsys, Inc. 4

5 Self Heating and MOS Reliability in FinFET FinFET has more pronounced self heating effect (SHE) Increased temperature exacerbates reliability degradation Device aging effect: BTI (Bias Temperature Instability) and HCI (Hot Carrier Injection) Concurrent SHE and reliability analysis Vt ~ exp ( n E a / k B T) BTI & HCI degradation increased ΔT = 20 C EM Imax rule reduced to 0.26x BTI ΔVt increased to 1.30x HCI ΔVt increased to 1.36x Aging simulation 2015 Synopsys, Inc. 5

6 Conventional Aging and Self-Heating Modeling is Insufficient Auxiliary thermal network Performance and convergence One additional node (T) added for each MOSFET, and solved by SPICE Device temperature is updated for every time point in simulation - expensive and prone to convergence issues Lack of good aging models simulation solutions Lack of accurate and efficient aging models Incompatible aging simulation solutions 2015 Synopsys, Inc. 6

7 Self-Heating and EM Analysis Integration EM Imax (Maximum allowed I) reduced I max ~ exp ( E a / k B T) The accuracy of EM rule depends heavily on wire temperatures (5-degree difference may result in ~30% difference) Having an uniform temperature for all wire is convenient but leads to over design Device self heating requires the capability of evaluating the wire temperatures locally T METAL = T joule + T coupling = T joule + a b T od 2015 Synopsys, Inc. 7

8 Parasitic RC in FinFET Many Rs and Cs Complicated to model and challenge to manage accuracy gap between prevs. post- layout R ext R instrinstic R total =R instrinstic +R ext +MEOL_R Increasing parasitic RC impact on circuit characteristics IEDM Synopsys, Inc. 8

9 Different Extraction Methods for Runtime and Accuracy Trade-off Schematic Full RCC Extraction Signals RCC Power CC extraction Parasitics inside model Parasitics Extracted No Parasitics Double Counting Parasitics 2015 Synopsys, Inc. 9

10 Variability and PVT Corners Every thing increasing with geometry scaling down FEOL issues, BEOL issues, # of operation Voltages, temperatures, 2015 Synopsys, Inc. 10

11 Customization and Extension to BSIM-CMG Complex layout dependence (STI, WPE, OSE, PLE ) DFM rules Statistical and parametric variability Self heating effects Device aging effects Additional geometrical scaling half node LOD WPE PSE OSE Foundry and Process specific. Difficult to be standardized into compact models 2015 Synopsys, Inc. 11

12 Synopsys Modeling Solutions for FinFET TSMC Modeling Interface (TMI) CustomCMI API (CMI) MOS Reliability Aging API (MOSRA) Efficient Subckt Macro Modeling TMI CustomCMI MOSFET, BJT, Diode, Resistor, Capacitor Core CMI Standard BSIM-CMG Model LDE TMI: TSMC Modeling Interface OMI: CMC Modeling Interface 2015 Synopsys, Inc. 12

13 BSIM-CMG Performance Optimization 2.5 BSIMCMG Model Speedup 8 7 BSIMCMG MT Scalability Org. C code optimized C code Number of CPUs 2015 Synopsys, Inc. 13

14 New Challenge on Compiled Model Validation Software engineering PURIFY sign off is a must for any compiled model release TMI, OMI, CMI, Conventional PURIFY check flow can not be applied Ideal PURIFY check flow netlist Model card HSPICE (Compiled with PURIFY options)! Source Code IP Violation Purify log file report purify error for HSPICE and TMI.so TMI.so, CMI.so (Compiled with Debug / purify options) A two-step TMI PURIFY check mechanism developed and deployed in TSMC for TMI production releases 2015 Synopsys, Inc. 14

15 Collaboration With Foundries on FinFET SPICE Library Sign Off Model Libs Corners Variations LDE RDR Model cards Foundry Synopsys Modeled Device Char Simulator & Analysis Model equation OP/DC/TRAN Current (I) AC/Noise Charge (Q) Monte Carlo Conductance (G) HF/RF Capacitance (C) MT Accurate Scalable Robust SWE Accurate Robust Efficient Scalable SWE SPICE model validation and regression system established with eco-system partners 2015 Synopsys, Inc. 15

16 Summary SPICE model is the critical link between foundry and IC design FinFET requires more features into SPICE library LDE, self heating, aging, variations Standard compact model is not enough and customization is required Synopsys provides comprehensive FinFET modeling solutions for performance, accuracy, and customization 2015 Synopsys, Inc. 16

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd The Impacts of BSIM Sally Liu TSMC 1 The Impacts of BSIM Outline What is BSIM Industry standard Breadth and depth Moving forward 2 What s in a name of BSIM The making of BSIM 631 papers in IEEE Explore

More information

How is a CMC Standard Model Implemented And Verified in a Simulator?

How is a CMC Standard Model Implemented And Verified in a Simulator? How is a CMC Standard Model Implemented And Verified in a Simulator? MOS-AK Workshop, Jushan Xie Vice Chairman of the CMC Senior Architect, Cadence Design Systems, Inc. 1 Content Benefit of CMC standard

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY

A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY A RESISTORLESS SWITCHED BANDGAP REFERENCE TOPOLOGY Hamilton Klimach, Moacir F. C. Monteiro Arthur L. T. Costa, Sergio Bampi Graduate Program on Microelectronics Electrical Engineering Department & Informatics

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM Jun-Fu Huang, Victor C.Y. Chang, Sally Liu, Kelvin Y.Y. Doong*, and Keh-Jeng Chang** SPICE Core Department, TSMC, Hsinchu Science-Based

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Dr.-Ing. Ulrich L. Rohde

Dr.-Ing. Ulrich L. Rohde Dr.-Ing. Ulrich L. Rohde Noise in Oscillators with Active Inductors Presented to the Faculty 3 : Mechanical engineering, Electrical engineering and industrial engineering, Brandenburg University of Technology

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation

Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Xiaofei Wang Pulkit Jain Dong Jiao Chris H. Kim Department of Electrical & Computer Engineering University of Minnesota 200 Union

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Experiences and Benefits of 16nm and 10nm FinFET Development

Experiences and Benefits of 16nm and 10nm FinFET Development Experiences and Benefits of 16nm and 10nm FinFET Development Jeff Galloway, Paweł Banachowicz, Michael Kroger, Brian Eplett, Andrew Cole, Randy Caplan Silicon Creations Process Experience Silicon Creations

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library CharFlo-Cell! Cell! TM Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library Agenda Introduction The Flow of CharFlo-Cell! The Applications and Features BiSection Methods

More information

New Generation Reliability Model

New Generation Reliability Model New Generation Reliability Model S.-Y. Liao, C. Huang, T. Guo, A. Chen, Jushan Xie, Cadence Design Systems, Inc. S. Guo, R. Wang, Z. Yu, P. Hao, P. Ren, Y. Wang, R. Huang, Peking University Dec. 5th, 2016

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer?

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer? Design Flow Comparison with specs Redesign Concept Implementation Design Specifications Circuit Schematic ECE 521 Layout SPICE etc. Physical definition Fall 2016 Physical verification Parasitic Extraction

More information

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs...

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs... Contents Contents... v Preface... xiii Chapter 1 Introduction...1 1.1 Compact MOSFET Modeling for Circuit Simulation...1 1.2 The Trends of Compact MOSFET Modeling...5 1.2.1 Modeling new physical effects...5

More information

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SECOND EDITION MUHAMMAD H. RASHID University of West Florida Pensacola, Florida, U.S.A. HASAN M. RASHID University of Florida Gainesville, Florida, U.S.A.

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

BiCMOS055 Technology Offer

BiCMOS055 Technology Offer BiCMOS055 Technology Offer STMicroelectronics Technology & Design Platforms, Crolles February 2016 Best-in-class BiCMOS BiCMOS055 (B55)* is: The latest BiCMOS technology developed in STMicroelectronics

More information

Fundamentals of Integrated Circuit Design

Fundamentals of Integrated Circuit Design 1. Definitions Integrated circuits Fundamentals of Integrated Circuit Design An integrated circuit (IC) is formed by components and interconnections that are fabricated on a single silicon piece of semiconductor,

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

XI μm Process Family: The XI10 series is X-Fab's 1.0-micron Modular Silicon-On-Insulator Technology DESCRIPTION

XI μm Process Family: The XI10 series is X-Fab's 1.0-micron Modular Silicon-On-Insulator Technology DESCRIPTION 1.0 μm Process Family: XI10 The XI10 series is X-Fab's 1.0-micron Modular Silicon-On-Insulator Technology DESCRIPTION The XI10 series is X-FAB s 1.0 micron Modular Non-fully Depleted SOI CMOS Technology.

More information

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2.

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2. Running Cadence Once the Cadence environment has been setup you can start working with Cadence. You can run cadence from your directory by typing Figure 1. Main window (Common Interface Window), CIW opens

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Principles of Current Source Modeling

Principles of Current Source Modeling Principles of Current Source Modeling Dipl.-Ing. Christoph Knoth Outline Brief Introduction Evolution of Timing Models Current Source Models Basics Characterization Implementation Application Summary 2

More information

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics BACKGROUND With the advent of more complex design requirements and greater variability in operating environments, electrical

More information

Accuracy and Speed Performance of HiSIM Versions 231 and 240

Accuracy and Speed Performance of HiSIM Versions 231 and 240 Accuracy and Speed Performance of HiSIM Versions 231 and 240 H.J. Mattausch, M. Miura-Mattausch, N. Sadachika, M. Miyake Graduate School of Advanced Sciences of Matter, Hiroshima University T. Iizuka NEC

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Circuit Simulation with SPICE OPUS

Circuit Simulation with SPICE OPUS Circuit Simulation with SPICE OPUS Theory and Practice Tadej Tuma Arpäd Bürmen Birkhäuser Boston Basel Berlin Contents Abbreviations About SPICE OPUS and This Book xiii xv 1 Introduction to Circuit Simulation

More information

Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models

Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models, Duane Connerney, Ronald Carroll, Timwah Luk Fairchild Semiconductor, South Portland, ME 04106 1 Outline

More information

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION RF system-in-package (SiP) and multi-chip-module (MCM) designs present engineers with the challenge

More information

4.1 THE 45nm TECHNOLOGY

4.1 THE 45nm TECHNOLOGY CHAPTER 4 DEEP SUBMICRON & THE NANOMETER MOS Considerations and characteristics of the deep sub-micron and nanometer MOS, especially the 45nm MOS, their characteristic degradation are discussed. 63 MOSFETs

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Metal-Oxide-Silicon (MOS) devices PMOS. n-type Metal-Oxide-Silicon (MOS devices Principle of MOS Field Effect Transistor transistor operation Metal (poly gate on oxide between source and drain Source and drain implants of opposite type to substrate.

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits

Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits Avinash S. Kashyap Cheng-Po Chen Vinayak Tilak GE Global Research Center 12/7/2011 Overview Program Objectives:

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Heidari, H., Navaraj, W., Toldi, G., and Dahiya, R. (2016) Device Modelling of Bendable MOS Transistors. In: IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, Canada, 22-25 May 2016,

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Ridgetop Group, Inc.

Ridgetop Group, Inc. Ridgetop Group, Inc. Ridgetop Group Facilities in Tucson, AZ Arizona-based firm, founded in 2000, with focus on electronics for critical applications Two divisions: Semiconductor & Precision Instruments

More information

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Sean T. Nicolson and Sorin Voinigescu University of Toronto sorinv@eecg.toronto.edu CSICS-006, San Antonio, November 15, 006 1 Outline

More information

a leap ahead in analog

a leap ahead in analog Analog modeling requirements for HV CMOS technology Ehrenfried Seebacher 2011-12-15 a leap ahead in analog Presentation Overview Design perspective on High Performance Analog HV CMOS Analog modeling requirements

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

Giovanni Betti Beneventi

Giovanni Betti Beneventi Technology Computer Aided Design (TCAD) Laboratory Lecture 1, Introduction Giovanni Betti Beneventi [Source: Synopsys] E-mail: gbbeneventi@arces.unibo.it ; giobettibeneventi@gmail.com Office: School of

More information

Scientific (super)computing in the electronics industry

Scientific (super)computing in the electronics industry Scientific (super)computing in the electronics industry Wil Schilders Centre for Analysis, Scientific Computing and Applications & Platform Wiskunde Nederland SARA Superdag, December 1, 2010 Centre for

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Lecture 4 ECEN 4517/5517

Lecture 4 ECEN 4517/5517 Lecture 4 ECEN 4517/5517 Experiment 3 weeks 2 and 3: interleaved flyback and feedback loop Battery 12 VDC HVDC: 120-200 VDC DC-DC converter Isolated flyback DC-AC inverter H-bridge v ac AC load 120 Vrms

More information

Technology Overview. MM-Wave SiGe IC Design

Technology Overview. MM-Wave SiGe IC Design Sheet Code RFi0606 Technology Overview MM-Wave SiGe IC Design Increasing consumer demand for high data-rate wireless applications has resulted in development activity to exploit the mm-wave frequency range

More information

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי Design מבוא לתכנון VLSI ספרתי Extraction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel Slide 1 Extraction Extraction is a process of creating electrical representation (R&C)

More information

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield Front-To-Back MMIC Design Flow with ADS Speed MMICs to market Save money and achieve high yield 1 Unique Tools for Robust Designs, First Pass, and High Yield Yield Sensitivity Histogram (YSH) to components

More information

SPICE for Power Electronics and Electric Power

SPICE for Power Electronics and Electric Power SPICE for Power Electronics and Electric Power Third Edition Muhammad H. Rashid Life Fellow IEEE /^0\ \Cf*' CRC Press I Taylor & Francis eis Crou Group Boca Raton London New York CRC Press is an imprint

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Analog Technology Forum 2008

Analog Technology Forum 2008 Single Vendor Design Flow Solutions for Low Power Electronics Analog Technology Forum 2008 Dr. Ivan Pesic June 25 2008 Simucad 社製品販売サポート Pressure Points on EDA Vendors for Continuous Improvements To be

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Design of Analog CMOS Integrated Circuits

Design of Analog CMOS Integrated Circuits Design of Analog CMOS Integrated Circuits Behzad Razavi Professor of Electrical Engineering University of California, Los Angeles H Boston Burr Ridge, IL Dubuque, IA Madison, WI New York San Francisco

More information

ECE 340 Lecture 40 : MOSFET I

ECE 340 Lecture 40 : MOSFET I ECE 340 Lecture 40 : MOSFET I Class Outline: MOS Capacitance-Voltage Analysis MOSFET - Output Characteristics MOSFET - Transfer Characteristics Things you should know when you leave Key Questions How do

More information

Mentor Analog Simulators

Mentor Analog Simulators ENGR-434 Spice Netlist Syntax Details Introduction Rev 5/25/11 As you may know, circuit simulators come in several types. They can be broadly grouped into those that simulate a circuit in an analog way,

More information

Experiment #7 MOSFET Dynamic Circuits II

Experiment #7 MOSFET Dynamic Circuits II Experiment #7 MOSFET Dynamic Circuits II Jonathan Roderick Introduction The previous experiment introduced the canonic cells for MOSFETs. The small signal model was presented and was used to discuss the

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage

None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Article None Operational Amplifier (OPA) Based: Design of Analogous Bandgap Reference Voltage Hao-Ping Chan 1 and Yu-Cherng Hung 2, * 1 Department of Electronic Engineering, National Chin-Yi University

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Analog IC Design 2010

Analog IC Design 2010 Analog IC Design 2010 Lecture 7 CAD tools, Simulation and layout Markus Törmänen Markus.Tormanen@eit.lth.se All images are taken from Gray, Hurst, Lewis, Meyer, 5th ed., unless noted otherwise. Contents

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

The Next State-of-the-Art in Circuit Simulation

The Next State-of-the-Art in Circuit Simulation The Next State-of-the-Art in Circuit Simulation Samuel Mertens, Mary Tolikas Ansoft Corporation Requirements for the next state-of-the-art circuit simulator Consistency across analysis domains Model compatibility

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Juergen Lorenz Fraunhofer IISB, Erlangen, Germany PATMOS/VARI 2016 Slide 1 OUTLINE Introduction

More information

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Mixed-Signal/RFCMOS

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N.   Mixed-Signal/RFCMOS F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N www.umc.com Mixed-Signal/RFCMOS Solutions for Mixed-Signal/RFCMOS Applications Mixed-Signal and RFCMOS applications have become major

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Layout-based Modeling Methodology for Millimeter-Wave MOSFETs

Layout-based Modeling Methodology for Millimeter-Wave MOSFETs Layout-based Modeling Methodology for Millimeter-Wave MOSFETs Yan Wang Institute of Microelectronics, Tsinghua University, Beijing, P. R. China, 184 wangy46@tsinghua.edu.cn Outline of Presentation Motivation

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

Power Management Unit

Power Management Unit SPECIFICATION 1 FEATURES ihp SG25H4 SiGe BiCMOS 0.25 um Bandgap voltage source 1.12 V Constant current source 500 Hz to 140 khz frequency generator Standby mode Supported foundries: TSMC, UMC, Global Foundries,

More information

Lecture 16 - Metal-Semiconductor Junction (cont.) October 9, 2002

Lecture 16 - Metal-Semiconductor Junction (cont.) October 9, 2002 6.720J/3.43J - Integrated Microelectronic Devices - Fall 2002 Lecture 16-1 Lecture 16 - Metal-Semiconductor Junction (cont.) October 9, 2002 Contents: 1. Schottky diode 2. Ohmic contact Reading assignment:

More information

Introducing Technology Computer-Aided Design (TCAD)

Introducing Technology Computer-Aided Design (TCAD) Chinmay K. Maiti Introducing Technology Computer-Aided Design (TCAD) Fundamentals, Simulations, and Applications Introducing Technology Computer-Aided Design (TCAD) Introducing Technology Computer-Aided

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information