ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Size: px
Start display at page:

Download "ESE 570: Digital Integrated Circuits and VLSI Fundamentals"

Transcription

1 ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides

2 Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout! Inverter Layout! Gate Layout and Stick Diagrams! Design Rules! Standard Cells! Linear Elements 2

3 MOSFET V GS = V G - V S V DS = V D - V S! Metal Oxide Semiconductor Field Effect Transistor " Primary active component for the term " Three terminal device " Voltage at gate controls conduction between two other terminals (source, drain) 3

4 MOSFET IV Characteristics 50 V DS I DS Drain current [arbitrary unit] Gate to source voltage [V] V GS Define: V th = Threshold Voltage 4

5 MOSFET IV Characteristics I DS V GS -V th V DS 5

6 MOSFET IV Characteristics V DS <V GS -V TH I DS V GS -V th V DS V GS -V TH V DS 6

7 MOSFET Zeroeth Order Model! Ideal Switch V GS > V th # switch is closed, conducts V GS < V th # switch is open, does not conduct! Gate draws no current from input " Loads input capacitively (gate capacitance) 7

8 MOSFET Zeroeth Order Model I DS V GS 8

9 MOSFET N-Type, P-Type! N negative carriers " electrons! Switch turned on positive V GS! P positive carriers " holes! Switch turned on negative V GS V th,n > 0 V GS > V th,n to conduct V th,p < 0 V GS < V th,p to conduct 9

10 Symmetry! NMOS: " Electrons are carriers " Electrons flow from source-to-drain " From lowest voltage#highest " Drain is most positive terminal " Current flows from drain-tosource! Symmetric Device " Like a resistor, doesn t know difference between two ends " Drain and source are defined by circuit connections 10

11 Symmetry! Symmetric Device! PMOS: " Holes are carriers " positively " Holes flow from source#drain " Flow from highest voltage#lowest " Drain is most negative terminal " Current flows from source-todrain " Like a resistor, doesn t know difference between two ends " Drain and source are defined by circuit connections 11

12 MOSFET N-Type, P-Type! N negative carriers " electrons! Switch turned on positive V GS! P positive carriers " holes! Switch turned on negative V GS V th,n > 0 V GS > V th,n to conduct V th,p < 0 V GS < V th,p to conduct 12

13 Typical N-Well CMOS Process 13

14 Typical N-Well CMOS Process 14

15 Interconnect Cross Section ITRS

16 CMOS Layers! Standard n-well Process " Active (Diffusion) (Drain/Source regions) " Polysilicon (Gate Terminals) " Metal 1, Metal 2, Metal3 " Poly Contact (connects metal 1 to polysilicon) " Active Contact (connects metal 1 to active) " Via (connects metal 2 to metal 1) " nwell (PMOS bulk region) " n Select (used with active to create n-type diffusion) " p Select (used with active to create p-type diffusion) 16

17 NMOS vs PMOS! NMOS built on p substrate! PMOS built on n substrate " Needs an N-well 17

18 MOS Layout Well, Active, Select 18

19 MOS Layout Poly Gate 19

20 CMOS Layers! Standard n-well Process " Active (Diffusion) (Drain/Source regions) " Polysilicon (Gate Terminals) " Metal 1, Metal 2, Metal3 " Poly Contact (connects metal 1 to polysilicon) " Active Contact (connects metal 1 to active) " Via (connects metal 2 to metal 1) " nwell (PMOS bulk region) " n Select (used with active to create n-type diffusion) " p Select (used with active to create p-type diffusion) 20

21 Wiring and Contact Layout Diffusion (Active) Contact Poly Contact Via (metal1-metal2) 21

22 Substrate and Well Contacts! Properties " Set Well and Substrate Voltages to Vdd and Gnd " Prevent Forward Biasing and Latch-Up " Must Be at Least One per Well " Should Be Placed Regularly 22

23 Layout Example: CMOS Inverter! Set Pitch (place well and power/ground busses) 23

24 Layout Example: CMOS Inverter! Add Transistors (active, select and poly) 24

25 Layout Example: CMOS Inverter! Make Connections (poly, metal, and contacts) 25

26 Layout Example: CMOS Inverter! Add Substrate and Well Contacts 26

27 Layout Example: CMOS Inverter! Add External Wiring and Resize 27

28 Example: Mystery Gate 28

29 Example: NAND Gate 29

30 Example: NAND Gate (Horizontal) 30

31 Symbolic Layout! Stick diagrams capture spatial relationships, but abstract away design rules (coming up next )! What gate is this? " How many NMOS? PMOS? D/S connections? 31

32 Layout Design Rules! Physical Layer " Design Rules are a set of process-specific geometric rules for preparing layout artwork to enable the layout to be manufacturable, i.e. preserve all of the circuit structures and feature geometries intended by the chip designers! Purpose " Realize fabricated chips that are die area efficient and manufacturable by balancing the conflicting objectives to minimize die area and maximize yield! Design Rule Waiver " Explicit permission granted by the fabrication organization to the design organization to violate certain design rules or to allow certain design rule errors on a given design 32

33 Design Rules! Minimum Separation [A] " Intralayer (all layers) " Interlayer (active to poly/well/select) " From Transistor! Minimum Width (all layers) [B]! Minimum Overlap [C] " Past Transistor (poly, active) " Around Contact Cut (all contacted layers) " Around Active (well, select)! Exact Size (contact cuts) [D] 33

34 Scalable CMOS Rules! Definition " Design Rules Based on a Unitless Parameter (λ) " λ Scales with Process Feature Size " λ = 0.5*L min " Example: λ = 0.6 in a 1.2mm Process! Advantages " Simplifies Design - Requires Learning Only One Set of Design Rules " Facilitates Translating Designs between Processes 34

35 Width/Spacing Design Rules 35

36 Contact Design Rules 36

37 Potential Consequences of Design Rule Violations! Inter-Layer Design Rule Origins Intended Transistor Catastrophic Error Unintended misalignment cause Source-Drain short circuit Intended Unrelated Poly & Diffusion Catastrophic Error Unintended overlap cause fabrication of a parasitic Transistor 37

38 Potential Consequences of Design Rule Violations! Inter-Layer Design Rule Origins Contact and Via Masks M1 contact to n-diffusion M1 contact to p-diffusion M1 contact to poly Mn contact to Mn-1 for n = 2, 3,.. -> Contact Mask -> Via Mask Both Metal 1 & Diffusion Intended Contact Alignment Both Metal 1 & Diffusion Mask misalignment Error Unintended misalignment cause poor contact 38

39 Design Capture Tools! Hardware Description Languages (HDL) & " capture a textual hierarchical description of design at abstraction ranging from gate or even transistor level up to a behavioral description (eg. VHDL, Verilog)! Schematic capture " capture a structural, hierarchical graphical representation of the design netlist (eg. Cadence Composer)! Layout " capture a hierarchical view of the physical geometric aspect of a design. The units of hierarchy are called cells, and have physical extent (size). In general, good design requires that only one cell contain the design info for a particular area of the chip (eg. Cadence Virtuoso) 39

40 Rules Checking! Complex designs invariably suffer design and design entry errors. There are a number of tools and methodologies to detect and correct " Physical Design Rules Checking (DRC) checks for design rule violations such as minimum spacing etc. DRC checking is complicated by hierarchy and overlap between cells " Electrical Rule Checking (ERC) checks for violations such as shorts between Vdd and GND, opens, and so on " Layout vs. Schematic (LVS) checks for a one-to-one correspondence between transistor schematic and the layout! Formal verification is used to show that the design satisfies a formal description of what it should do! Simulation is used to show that the design is functional on some well selected set of input vectors! Timing analysis is used to predict design performance 40

41 Circuit Extraction! Circuit extraction extracts a schematic representation of a layout, including transistors, wires, and possibly wire and device resistance and capacitance.! Circuit extraction is used for LVS, and for spice simu- lation of layouts 41

42 Standard Cells! Lay out gates so that heights match " Rows of adjacent cells " Standardized sizes! Motivation: automated place and route " EDA tools convert HDL to layout 42

43 Standard Cell Area inv nand3 All cells uniform height Cell area Width of channel determined by routing 43

44 Standard Cell Layout Example 44

45 CMOS Process Enhancements C. Bipolar Transistors 45

46 CMOS Poly-Poly Capacitors W L 46

47 Resistors 47

48 Big Idea! Layouts are physical realization of circuit " Geometry tradeoff " Can decrease spacing at the cost of yield " Design rules! Can go from circuit to layout or layout to circuit by inspection 48

49 Admin! HW 1 should be submitted! HW 2 due next week 1/28 " Posted tonight after class! Office hours updated on Course website 49

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

CS/ECE 5710/6710. Composite Layout

CS/ECE 5710/6710. Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Composite Layout Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Design Rules, Technology File, DRC / LVS

Design Rules, Technology File, DRC / LVS Design Rules, Technology File, DRC / LVS Prof. Dr. Peter Fischer VLSI Design: Design Rules P. Fischer, TI, Uni Mannheim, Seite 1 DESIGN RULES Rules in one Layer Caused by manufacturing limits (lithography,

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

Fundamentals of Integrated Circuit Design

Fundamentals of Integrated Circuit Design 1. Definitions Integrated circuits Fundamentals of Integrated Circuit Design An integrated circuit (IC) is formed by components and interconnections that are fabricated on a single silicon piece of semiconductor,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

EE 330 Lecture 7. Design Rules

EE 330 Lecture 7. Design Rules EE 330 Lecture 7 Design Rules Last time: Response time of logic gates A Y C L t R C HL SWn L t R C LH SWp L C L proportional to #gates driven to avg input cap of gates R SW proportional length/width Last

More information

CS/EE 181a 2010/11 Lecture 1

CS/EE 181a 2010/11 Lecture 1 CS/EE 181a 2010/11 Lecture 1 CS/EE 181 is about designing digital CMOS systems. Functional Specification Approximate domain of CS181 Circuit Specification Simulation Architectural Specification Abstract

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD?

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD? Improved Inverter: Current-Source Pull-Up MOS Inverter with Current-Source Pull-Up What else could be connected between the drain and? Replace resistor with current source I SUP roc i D v IN v OUT Find

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General Where are we? Lots of Layout issues Line of diffusion style Power pitch it-slice pitch Routing strategies Transistor sizing Wire sizing Layout - Line of Diffusion Very common layout method Start with a

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Chapter 1. Introduction

Chapter 1. Introduction EECS3611 Analog Integrated Circuit esign Chapter 1 Introduction EECS3611 Analog Integrated Circuit esign Instructor: Prof. Ebrahim Ghafar-Zadeh, Prof. Peter Lian email: egz@cse.yorku.ca peterlian@cse.yorku.ca

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

Designing Information Devices and Systems II Fall 2017 Note 1

Designing Information Devices and Systems II Fall 2017 Note 1 EECS 16B Designing Information Devices and Systems II Fall 2017 Note 1 1 Digital Information Processing Electrical circuits manipulate voltages (V ) and currents (I) in order to: 1. Process information

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Review: CMOS Logic Gates

Review: CMOS Logic Gates Review: CMOS Logic Gates INV Schematic NOR Schematic NAND Schematic + Vsg - pmos x x Vin Vout = Vin y + Vgs - nmos CMOS inverts functions CMOS Combinational Logic x g(x,y) = x + y use DeMorgan relations

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Introduction to VLSI design using Cadence Electronic Design Automation Tools

Introduction to VLSI design using Cadence Electronic Design Automation Tools Bangladesh University of Engineering & Technology Department of Electrical & Electronic Engineering Introduction to VLSI design using Cadence Electronic Design Automation Tools Laboratory Module 4: Layout

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1 CMOS Transistor and Circuits Jan 2015 CMOS Transistor 1 Latchup in CMOS Circuits Jan 2015 CMOS Transistor 2 Parasitic bipolar transistors are formed by substrate and source / drain devices Latchup occurs

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1 EE 330 Lecture 7 Design Rules IC Fabrication Technology Part 1 Review from Last Time Technology Files Provide Information About Process Process Flow (Fabrication Technology) Model Parameters Design Rules

More information

8. Characteristics of Field Effect Transistor (MOSFET)

8. Characteristics of Field Effect Transistor (MOSFET) 1 8. Characteristics of Field Effect Transistor (MOSFET) 8.1. Objectives The purpose of this experiment is to measure input and output characteristics of n-channel and p- channel field effect transistors

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

due to power supply and technology. Process specifications were obtained from the MOSIS

due to power supply and technology. Process specifications were obtained from the MOSIS design number 85739 VLSI Design Chromatic Instrument Tuner For the design of the operational amplifier, we have to take into consideration the constraints due to power supply and technology. Process specifications

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 2018 CMOS Logic Lecture 4: 1 NAND Logic Gate X Y (X Y) = NAND Using De Morgan s Law: (X Y) = X +Y X X X +Y = Y Y Also a NAND We can build circuits

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

2. (2 pts) What is the major reason static CMOS NAND gates are often preferred over static CMOS NOR gates?

2. (2 pts) What is the major reason static CMOS NAND gates are often preferred over static CMOS NOR gates? EE 330 Final Exam Spring 05 Name Instructions: Students may bring 3 pages of notes (3 front + 3 back) to this exam. There are 0 questions and 8 problems. There are two points allocated to each question.

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. MOSFET Ids vs. Vgs, Vds MOSFET. Preclass. MOSFET I vs.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. MOSFET Ids vs. Vgs, Vds MOSFET. Preclass. MOSFET I vs. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 2: September 7, 2012 Transistor Introduction Today MOSFET Capacitive and resistive loads Zero-th order transistor model

More information

ECE380 Digital Logic. Logic values as voltage levels

ECE380 Digital Logic. Logic values as voltage levels ECE380 Digital Logic Implementation Technology: NMOS and PMOS Transistors, CMOS logic gates Dr. D. J. Jackson Lecture 13-1 Logic values as voltage levels V ss is the minimum voltage that can exist in the

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 Part 1: This part of the project is to lay out a bandgap. We previously built our bandgap in HW #13 which supplied a constant

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

Shorthand Notation for NMOS and PMOS Transistors

Shorthand Notation for NMOS and PMOS Transistors Shorthand Notation for NMOS and PMOS Transistors Terminal Voltages Mode of operation depends on V g, V d, V s V gs = V g V s V gd = V g V d V ds = V d V s = V gs - V gd Source and drain are symmetric diffusion

More information

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and Lecture 16: MOS Transistor models: Linear models, SPICE models Context In the last lecture, we discussed the MOS transistor, and added a correction due to the changing depletion region, called the body

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

Lecture 19 Real Semiconductor Switches and the Evolution of Power MOSFETS A.. Real Switches: I(D) through the switch and V(D) across the switch

Lecture 19 Real Semiconductor Switches and the Evolution of Power MOSFETS A.. Real Switches: I(D) through the switch and V(D) across the switch Lecture 19 Real Semiconductor Switches and the Evolution of Power MOSFETS 1 A.. Real Switches: I(D) through the switch and V(D) across the switch 1. Two quadrant switch implementation and device choice

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 Objective: The objective of this laboratory experiment is to become more familiar with the operation of

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1

Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1 Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1 LECTURE 190 CMOS TECHNOLOGY-COMPATIBLE DEVICES (READING: Text-Sec. 2.9) INTRODUCTION Objective The objective of this presentation is

More information

Device Technology( Part 2 ): CMOS IC Technologies

Device Technology( Part 2 ): CMOS IC Technologies 1 Device Technology( Part 2 ): CMOS IC Technologies Chapter 3 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

EC0306 INTRODUCTION TO VLSI DESIGN

EC0306 INTRODUCTION TO VLSI DESIGN EC0306 INTRODUCTION TO VLSI DESIGN UNIT I INTRODUCTION TO MOS CIRCUITS Why VLSI? Integration improves the design: o lower parasitics = higher speed; o lower power; o physically smaller. Integration reduces

More information

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook)

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook) ECE 410: VLSI Design Course Lecture Notes (Uyemura tetbook) Professor Fathi Salem Michigan State University We will be updating the notes this Semester. Lecture Notes Page 2.1 Electronics Revolution Age

More information

CMOS: Fabrication principles and design rules

CMOS: Fabrication principles and design rules CMOS: Fabrication principles and design rules João Canas Ferreira University of Porto Faculty of Engineering 2016-02-29 Topics 1 Overview of the CMOS fabrication process 2 Geometric design rules João Canas

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 MOS Transistor Theory Study conducting channel between

More information

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information