Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM

Size: px
Start display at page:

Download "Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM"

Transcription

1 Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM Jun-Fu Huang, Victor C.Y. Chang, Sally Liu, Kelvin Y.Y. Doong*, and Keh-Jeng Chang** SPICE Core Department, TSMC, Hsinchu Science-Based Industrial Park, Taiwan * PF2, R&D Division, TSMC, Hsinchu Science-Based Industrial Park, Taiwan ** Department of Computer Science, National Tsing Hua University, Hsinchu, Taiwan

2 Acknowledgements The authors want to express their gratitude to the logistic supports of TSMC management during this research experiment. They also want to acknowledge the helps of Dr. Li-Fu Chang of Clear Shape Technologies. Jan. 23, 2007, P. 2

3 Outline Random vs. systematic BEOL variations BEOL profile based random variations Monte Carlo (MCGRC) simulations 2-dimensional capacitances 3-dimensional capacitances Validating corners for SSTA Conclusion Jan. 23, 2007, P. 3

4 Random vs. Systematic BEOL Variations BEOL profiles are the geometrical dimensions and material properties of the back-end of the line, i.e. the interconnects. Systematic variations, deterministic in nature, with a varying trend and pattern of BEOL profiles Methods to correctly include OPC, dummy fill, etc. Random variations, a stochastic phenomenon without a clear trend or pattern of BEOL profiles Statistical modeling and timing closure for chip designs. Ref. [1] [2] Jan. 23, 2007, P. 4

5 Targeting BEOL Random Variations Replicating structures with identical environments; Avoiding systematic variations; Avoiding spatial variations; Avoiding measurement noises; Concentrating on on-chip variations. Jan. 23, 2007, P. 5

6 Profiling the Cu-Based Node Top Metals M5 M5 M5 M4 M4 M4 M4 M3 M3 M3 M3 M2 M2 M2 M2 M1 M1 M1 M1 PO PO PO STI PO Substrate Source: Unpublished TSMC 2001 document Jan. 23, 2007, P. 6

7 Monte Carlo (MCGRC) Simulations Random parameters of BEOL profiles that affect capacitance and resistance: (-3σ~ +3σ) Top and bottom plates/grids thickness plus pitch when 3D Metal signal lines thickness and pitch Thickness / ε r of IMD between top and bottom plates Top plate IMD M M M IMD Bottom plate Jan. 23, 2007, P. 7

8 Three Main Experimental Structures Dense 2-D RC structures Signal lines between two adjacent plates Sparse 2-D RC structures Signal lines between two far-away plates 3-D structures ASIC-style real 3-D lines in 3-D environments Jan. 23, 2007, P. 8

9 2-Dimensional Capacitances Source: Unpublished TSMC 2001 document Jan. 23, 2007, P. 9

10 RSS of BEOL Profiles for MCGRC Skew all IMD between the top plate and the lines based on RSS Skew all IMD between the lines and the bottom plate based on RSS. Top plate Skew by root-sum-square M M M Skew by root-sum-square Bottom plate Jan. 23, 2007, P. 10

11 A 3-Dimensional Structure Jan. 23, 2007, P. 11 Ref: [4]

12 The Cross Section of the 3-D Structure Note: M i-1, M i, M i+1, M i+2, and the surrounding IMD layers are all 3-D and their profiles are varying. Jan. 23, 2007, P. 12

13 Jan. 23, 2007, P D Random Capacitance Variations

14 Recently Published BEOL Variations Ref. [3] Jan. 23, 2007, P. 14

15 Measured BEOL Variation Patterns R_normalized Sub-100nm BEOL Silicon data C_normalized Model corners Jan. 23, 2007, P. 15

16 MCGRC BEOL Variation Patterns M4-M3-M2 2.10E E-01 C_best R_Normalized 1.90E E E-01 RC_best Typical RC_worst MC_simulation corner_model 1.60E-01 C_worst 1.50E E E E E E E-01 C_Normalized Note: The above should replace Figure 10 of Page 224, ASPDAC07. Jan. 23, 2007, P. 16

17 Revising Our Corner Models M5-M3-M1 9.00E-02 R_Normalized 8.80E E E E E E-02 MC_simu cur_corner_model new_corner_model 7.60E E E E E E-01 C_Normalized The above revision happens for sparse and wide metals. Jan. 23, 2007, P. 17

18 Our Method Leading to SSTA (a) (c) Raw silicon RC corner data Raw silicon BEOL process parameter variations data 3σ variations of BEOL process parameters (b) RC corners for comprehensive BEOL structures using Monte-Carlo Method Spread OK? N Validated 3σ corners of BEOL for SSTA Y Jan. 23, 2007, P. 18

19 Validating Corners for SSTA VLSI/SoC designs Layout parameter extraction Validated technologydependent 3σ process profile variation corner data SSTA Jan. 23, 2007, P. 19

20 Conclusion Successful Monte-Carlo Guided RC Simulations help revise RC corners for sparse and wide metals New high-throughput servers help the MCGRC performance and help save test chip designs and costs Extracted random variations can be used for SSTA for DFM. Jan. 23, 2007, P. 20

21 References [1] K. Chang, Accurate onchip variation modeling to achieve design for manufacturability. Proc. 4th IEEE IWSOC, Banff, [2] J. Xiong et al., Robust extraction of spatial correlation, Proc. IEEE ISPD, San Jose, [3] S. Sankaran et al., A 45 nm CMOS node Cu/Lowk/Ultra Low-k PECVD SiCOH (k=2.4) BEOL technology, Proc. IEEE IEDM, San Francisco, [4] TSMC, Foundry Watch, Hsinchu, April Jan. 23, 2007, P. 21

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

FinFET SPICE Modeling

FinFET SPICE Modeling FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Modeling the Effects of Systematic Process Variation on Circuit Performance

Modeling the Effects of Systematic Process Variation on Circuit Performance Modeling the Effects of Systematic Process Variation on Circuit Performance by Vikas Mehrotra Bachelor of Science, Wright State University, 1993 Master of Science, The Ohio State University, 1995 Submitted

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd The Impacts of BSIM Sally Liu TSMC 1 The Impacts of BSIM Outline What is BSIM Industry standard Breadth and depth Moving forward 2 What s in a name of BSIM The making of BSIM 631 papers in IEEE Explore

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity CST NORTH AMERICAN USERS FORUM John Contreras 1 and Al Wallash 2 Hitachi Global Storage Technologies 1. San Jose

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS Progress In Electromagnetics Research C, Vol. 14, 131 145, 21 A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS C.-Y. Hsiao Institute of Electronics Engineering National

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node

Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Project SUPERAID7: Stability Under Process Variability for Advanced Interconnects and Devices Beyond 7nm node Juergen Lorenz Fraunhofer IISB, Erlangen, Germany PATMOS/VARI 2016 Slide 1 OUTLINE Introduction

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Zhichun Wang 1,3, Jan Ackaert 2, Cora Salm 1, Fred G. Kuper 1,3, Klara

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model 1040 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 6, JUNE 2003 Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model Chia-Hsin Wu, Student Member, IEEE, Chih-Chun Tang, and

More information

THE CONTINUOUSLY increasing scale of integration

THE CONTINUOUSLY increasing scale of integration IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 557 Circuit Sensitivity to Interconnect Variation Zhihao Lin, Member, IEEE, Costas J. Spanos, Senior Member, IEEE, Linda

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie Local Fix Based Litho- Compliance Layout Modification in Router NAME: ØÙ Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie 1 Outline Lithography & OPC Introduction Graduate Institute Electronic Engineering,

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Interconnect Modeling in Deep-Submicron Design

Interconnect Modeling in Deep-Submicron Design IEICE TRANS. ELECTRON., VOL.E83 C, NO.8 AUGUST 2000 1311 INVITED PAPER Special Issue on SISPAD 99 Interconnect Modeling in Deep-Submicron Design Won-Young JUNG a), Soo-Young OH, Jeong-Taek KONG, and Keun-Ho

More information

DOUBLE DATA RATE (DDR) technology is one solution

DOUBLE DATA RATE (DDR) technology is one solution 54 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 6, JUNE 203 All-Digital Fast-Locking Pulsewidth-Control Circuit With Programmable Duty Cycle Jun-Ren Su, Te-Wen Liao, Student

More information

18-Mar-08. Lecture 5, Transistor matching and good layout techniques

18-Mar-08. Lecture 5, Transistor matching and good layout techniques Transistor mismatch & Layout techniques 1. Transistor mismatch its causes and how to estimate its magnitude 2. Layout techniques for good matching 3. Layout techniques to minimize parasitic effects Part

More information

A CAD based Computer-Aided Tolerancing Model for Machining Processes

A CAD based Computer-Aided Tolerancing Model for Machining Processes Master Thesis Proposal A CAD based Computer-Aided Tolerancing Model for Machining Processes By Yujing Feng Department of Computer Science Indiana University South Bend July 2003 Abstract The Computer Aided

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation

Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Andrew B. Kahng, Bao Liu and Xu Xu CSE and ECE Departments, UC San Diego La Jolla, CA 92093, USA {abk,bliu,xuxu}@cs.ucsd.edu

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Sensor-based Quality Monitoring of Nano-manufacturing Systems

Sensor-based Quality Monitoring of Nano-manufacturing Systems Sensor-based Quality Monitoring of Nano-manufacturing Systems Satish Bukkapatnam Ranga Komanduri Oklahoma State University Stillwater, OK National Science Foundation Workshop on Research Challenges in

More information

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR

THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR THE CCD RIDDLE REVISTED: SIGNAL VERSUS TIME LINEAR SIGNAL VERSUS VARIANCE NON-LINEAR Mark Downing 1, Peter Sinclaire 1. 1 ESO, Karl Schwartzschild Strasse-2, 85748 Munich, Germany. ABSTRACT The photon

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Miniature 3-D Inductors in Standard CMOS Process

Miniature 3-D Inductors in Standard CMOS Process IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 4, APRIL 2002 471 Miniature 3-D Inductors in Standard CMOS Process Chih-Chun Tang, Student Member, Chia-Hsin Wu, Student Member, and Shen-Iuan Liu, Member,

More information

Power Management Unit

Power Management Unit SPECIFICATION 1 FEATURES ihp SG25H4 SiGe BiCMOS 0.25 um Bandgap voltage source 1.12 V Constant current source 500 Hz to 140 khz frequency generator Standby mode Supported foundries: TSMC, UMC, Global Foundries,

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS Istanbul Technical University Electronics and Communications Engineering Department Tuna B. Tarim Prof. Dr. Hakan Kuntman

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C45 ME C18 Introduction to MEMS Design Fall 008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 9470 Lecture 7: Noise &

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

Simulation and Modeling of Capacitive Coupling Interconnection For 3D Integration

Simulation and Modeling of Capacitive Coupling Interconnection For 3D Integration 2012 4th International Conference on Computer Modeling and Simulation (ICCMS 2012) IPCSIT vol.22 (2012) (2012) IACSIT Press, Singapore Simulation and Modeling of Capacitive Coupling Interconnection For

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Through Silicon Via Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

POSTECH Activities on CMOS based Linear Power Amplifiers

POSTECH Activities on CMOS based Linear Power Amplifiers 1 POSTECH Activities on CMOS based Linear Power Amplifiers Jan. 16. 2006 Bumman Kim, & Jongchan Kang MMIC Laboratory Department of EE, POSTECH Presentation Outline 2 Motivation Basic Design Approach CMOS

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Network-on-Chip Symposium, April 2008 Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang and Wei Hwang Department

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

Fabrication, Corner, Layout, Matching, & etc.

Fabrication, Corner, Layout, Matching, & etc. Advanced Analog Building Blocks Fabrication, Corner, Layout, Matching, & etc. Wei SHEN (KIP) 1 Fabrication Steps for MOS Wei SHEN, Universität Heidelberg 2 Fabrication Steps for MOS Wei SHEN, Universität

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices 240 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices Dae Hyun Kim,

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V) SPECIFICATION 1 FEATURES Global Foundries CMOS 55 nm Low drop out Low current consumption Two modes operations: Normal, Economy Mode operation Bypass No discrete filtering capacitors required (cap-less

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, Shen Lin, Lei He*, O. Sam Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA, USA *University of Wisconsin, Madison,

More information

A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS

A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS Keith Fife, Abbas El Gamal, H.-S. Philip Wong Stanford University, Stanford, CA Outline Introduction Chip Architecture Detailed Operation

More information