FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

Size: px
Start display at page:

Download "FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits"

Transcription

1 FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract This paper introduces FDTD to the problem of Silicon integrated circuit (IC) analysis. The analyzed IC cell is decomposed into active and passive parts. The active part is analyzed using the circuit analysis approach of while the larger passive on-chip interconnect part is analyzed using the full wave finite difference time domain (FDTD) method. The two methods are coupled in the time domain at the connecting ports. All of the modes propagating on the metalinsulator-semiconductor (MIS) interconnect are taken into account accurately. The advantages of both the circuit and the full wave analysis approaches are retained while avoiding inaccuracies associated with the use of transforms or inverse transforms. The analysis procedure is illustrated on a few small IC cell layout examples.. Introduction Continuing advances in high-speed semiconductor device technologies have increased the importance of interconnects in the overall behavior of the integrated circuit (IC). These onchip interconnects typically consist of metal-insulator-semiconductor (MIS) or semiconductor-insulator-semiconductor transmission structures. The presence of the semiconductors gives rise to three fundamental modes of propagation []. The three fundamental modes, combined with the conductor losses, result in serious degradation of the signals propagated on the interconnect. Accurate modeling of interconnect propagation, together with the modeling of semiconductor devices, is an important requirement in the design of advanced ICs. Due to the small size of the semiconductor device compared to the smallest wavelength in the operating frequency spectrum, the devices lend themselves to lumped circuit type modeling. Sophisticated modes have been developed to describe the behavior of semiconductor devices. These ever-improving models contain large numbers of parameters that are either directly related to the physical behavior of the device or serve as curve fitting parameters. In either case, these models are capable of modeling semiconductor devices accurately over wide signal amplitude and frequency ranges. In contrast to the semiconductor devices, the interconnect structures and the passive elements in today s high speed ICs are often comparable to, or larger than, the smallest wavelengths of the propagating modes. The larger electrical lengths of the interconnects, coupled with the complex three dimensional (D) nature of their geometries, the presence of nearby neighbors, and the more complex nature of the propagated signal, make direct circuit mo deling very difficult. Furthermore, the broadband operation of today's ICs and the nonlinear behavior of the devices demand time domain interconnect models for the analysis. Finally, some of the same reasons mentioned above, together with the high accuracy requirements in leading edge semiconductor device design and research, make full wave methods the leading candidates for accurate on-chip interconnect analysis. The finite difference time domain (FDTD) method has been used successfully to characterize signal propagation on IC MIS lines terminated in linear devices []. Good agreement with measurements over a wide frequency range has been obtained. This method can be coupled with the circuit analysis approach of using FDTD techniques []. The result is a very general IC simulation approach that can take into account semiconductor substrate effects as well as model nonlinear devices. In this paper, we introduce FDTD to the problem of Silicon IC analysis. The analysis procedure is illustrated on a few small IC cell layout examples. Results obtained using the FDTD approach are compared with those obtained using conventional modeling. The main limitation of the FDTD analysis approach is in the computation time and memory required to analyze the D interconnect structure using FDTD. As a consequence, only smaller units (cells) of the IC can be analyzed. Analysis of a whole IC using this method is not possible on today's computers. Nevertheless, very useful smaller structures can be analyzed with high accuracy.. Overview of FDTD The first step in the FDTD analysis procedure is to partition the problem into two parts: ) the distributed part of the analyzed structure that is to be solved using FDTD and ) the circuit part of the structure that is to be solved using. The two parts of the problem are connected through a number of FDTD ports. At each time step, FDTD uses its field solution to calculate the elements of the port equivalent circuit and passes the information to. solves the resulting circuit and returns the calculated voltage to FDTD. FDTD then updates the electric field at the port based on the voltage supplied by. The procedure is illustrated in Figure, together with the equivalent circuits of the ports. The calculation of the DC solution for the analyzed structure requires the calculation of the initial field distribution in the FDTD part of the structure. This calculation can be computationally expensive. The problem is avoided by making use of the linearity of the FDTD part of the structure and separating all of the port voltages and currents into constant and time-varying components. FDTD then works with only the time -varying components of the solution while deals with the actual (DC AC) variables. The DC solution is performed only by [].

2 I N (t) I N (t) FDTD part of the analyzed structure I NM (t) Figure : FDTD calculation procedure. The FDTD structure is on the left. An arbitrary circuit is on the right. The arrows represent interprocess communication.. Application to ICs In order to apply FDTD to a semiconductor IC, the chosen physical design must be partitioned into FDTD and parts. Typically, the design will consist of a large semiconductor substrate populated by islands of differently doped semiconductor material. The resulting inhomogeneous semiconductor is covered by one ore more insulating materials (usually oxides). Layers of metal, polycrystalline semiconductor, and metal vias are contained within the insulating materials making connections between doped islands on the substrate. For analysis purposes, we earmark the large passive elements for FDTD modeling. The resulting FDTD structure consists of conductors, buried in a layered insulator, positioned over an inhomogeneous semiconductor material. All of the remaining smaller structures are modeled together with the active devices using conventional circuit models in. The ports connecting the FDTD and partitions are positioned between conductors that pass through the partition boundaries. The partitioning procedure is illustrated in Fig. as well as in the examples below. F D T D S P I C E FDTD M I Nk (t) V k (t) V (t) V (t) V N (t) Conductor Inhomogeneous Semiconductor part of the analyzed structure Oxide Figure : Partitioning the problem for FDTD analysis. The largest design that can be analyzed on a given computer using FDTD is dictated by the number and size of the cells in the FDTD partition. Therefore, it is essential to keep the number of FDTD cells at a minimum. This can be done by using nonuniform or multigrid FDTD methods.. Examples The FDTD analysis approach is illustrated on a few Silicon IC examples. Although the widely spread complementary metal oxide semiconductor (CMOS) IC technology was chosen for the examples, the approach is not limited to this technology.. CMOS Buffer In CMOS digital designs it is common to cascade logical gates to achieve required performance characteristics. An example of such a procedure is in using an even number of inverter circuits to build a data buffer. The characteristics and the dimensions of the cascaded inverters will change as we proceed down the inverter chain. As a result, the interconnect lengths from device to device can vary considerably. In this example we evaluate the effect of changing interconnect lengths between two digital devices on the output signal. The structure chosen for this example is shown in Fig.. d Figure : Top view of CMOS buffer layout. The length of the interconnect is variable: Metal (V CC and V SS ), Metal (Signal). For simplicity, both inverters are the same. The input to the buffer is at the center of the pad labeled IN and the output is at the center of the pad labeled OUT. An ideal. V voltage source connects between the terminals labeled V CC and V SS. Figure also shows a drawing grid superimposed on the structure. The separation between the nodes of the grid is µm. The length and width of the n-mos transistor gate are L= µm and W= µm, respectively. The corresponding dimensions for the gate of the p-mos transistor are L= µm and W=6. µm. The V CC and V SS interconnects are µm wide and. µm thick. The central signal conductor is µm wide and.6 µm thick. All conductors have a conductivity of.9 7 S/m. The layer stackup is shown in Fig..

3 . µm. µm. µm.6 µm.7 µm µm. µm nm Oxide, ε r =.97 Metal, σ=.97 7 Metal, σ=.97 7 Polysilicon Si Substrate ε r =.7, σ=9.8 S/m Ideal Ground Plane Figure : Layer stackup for all examples. The input of the structure is driven by a trapezoidal voltage source with % rise and fall times of ps. The amplitude of the driving voltage is. V. We consider two device to device separations: d= µm and d= µm, and we model the structures using two modeling approaches. In the first approach, we extract a conventional circuit model of the structure. Automated model generation software is used to extract the MOSFET models [, ]. This approach is similar to the procedure that most of today s IC fabrication houses use to model CMOS designs. Cascaded, lumped, RLC T sections are used to model the interconnects. The capacitance, C, is computed using D electro -static analysis assuming that the Si substrate behaves as an ideal conductor. The inductance, L, is computed from a magneto-static solution. The DC resistance of the interconnects is used to compute the values of the R elements for the sections. In the second modeling approach, we decompose the structure into FDTD and parts as shown in Figures and 6. The interconnects on layers Metal and Metal are modeled in FDTD, together with the vias from Metal to Metal. The rest of the structure is modeled in. Five ports are used to interface between FDTD and. The driving voltage source is included in the model. Figure 6: part of structure analyzed in Example. We first analyze the example for the case when the device separation shown in Fig. is d= µm. This corresponds to short interconnects between devices as would be the case for two adjacent gates. The voltage responses obtained by the two approaches are compared in Figures 7 and 8. Figure 7 shows the voltage at the output of the first inverter and Fig. 8 shows the output voltage of the second inverter (buffer output). v SIG (t) [V].... FDTD Figure 7: Output of the first inverter with d= µm.. FDTD-. v OUT (t) [V] Figure : FDTD part of the structure analyzed in Example. Figure 8: Output of CMOS buffer with d= µm.

4 In all of the plots, the solid lines correspond to the results obtained by FDTD and the dashed lines correspond to the conventional results. It is seen that extremely good agreement is obtained between FDTD and the conventional approach for the case of short interconnect lengths. FDTD also calculates the electric and magnetic fields everywhere in the structure. The fields can be useful for examining the circuit behavior in more detail. The distribution of the vertical component of the electric field (z-component) in a plane orthogonal to the field is shown in Fig. 9. The plane is parallel to the semiconductor surface and passes half way between metal layers and. We can observe the effect of current crowding near the edges and corners of the planar conductors as well as the standing waves on the signal conductor. Ez [kv/m] y [um] x [um] - - Figure 9: Electric field distribution for the structure with d= µm (on the z=const. plane half way between Metal and Metal at t= ps). As the length of the interconnect increases, we can expect the differences between the two modeling approaches to become larger due to the complex nature of the on-chip wave propagation that is not accounted for in the quasi-static interconnect model. To illustrate this, we analyze the same structure with the device separation increased to d= µm. v SIG (t) [V]... FDTD- The voltage responses of the two inverter outputs are shown in Figures and. Considerable differences in the signal propagated between the two inverters are visible in Fig.. These differences cause considerably different signal delays at the buffer output (Fig. ). It is interesting to note that the quasi-static model overestimates the delay in this example. This is partly due to the fact that the substrate was treated as a conductor for C extraction. Also, single frequency R, L, and C models, corresponding to the low frequency limit, were used to model the interconnect in the conventional model. Better agreement between the FDTD and circuit modeling approaches can be expected if more complex interconnect models are used. However, more sophisticated interconnect models require computationally more expensive parameter extraction techniques (often full wave). v OUT (t) [V].... FDTD Figure : Output of CMOS buffer with d= µm. The field distribution for this structure obtained by FDTD is shown in Fig.. The figure also shows the nonuniform grid that was used to analyze the structure efficiently. The sizes of the grid cells are increasing in a geometric progression from neighbor to neighbor in order to maintain FDTD errors within reasonable limits. Ez [kv/m] y [um] 6 x [um] Figure : Output of first inverter with d= µm. Figure : Electric field distribution for the structure with d= µm (on the z=const. plane half way between Metal and Metal at t= ps).

5 . Analog CMOS Voltage Reference Circuit In this example we examine an analog CMOS circuit. In analog circuit design one often needs voltage or current sources that are independent of the supply voltage or temperature. Figure shows a CMOS based diode referenced self-biasing circuit. The purpose of the circuit is to maintain a constant voltage between the V DD and V PBIAS terminals. This voltage can then be used to bias other analog circuits. V DD V PBIAS trapezoidal fashion. The rise and fall times of the trapezoidal pulse are ps, the hold time is 7 ps, and the period is ps. The structure shown in Fig. is analyzed using two separate approaches. In the first approach we use the conventional circuit modeling procedure and in the second approach we employ FDTD. The top view of the FDTD part of the analyzed structure is shown in Fig.. The same figure also shows the location of the ideal voltage source used as the excitation for the structure. It should be noted that the resistor that is laid out in the form of a U shaped n-well in the lower left part of Fig. is modeled by a resistor element in the FDTD case. This is done in order to simp lify the interface ports between the FDTD and partitions, which are selected in a similar manner as in Example. V DD (t) Figure : Diode referenced biasing circuit. The IC layout of the circuit is shown in Fig.. The nodes of the drawing grid shown in Fig. are µm apart. The layer stackup is the same as in the previous example. Figure : Top view of FDTD partition used in Example. The results of the two approaches are shown in Fig. 6. It is seen that the conventional model predicts smaller voltage variations of the biasing circuit than FDTD. 6 V DD FDTD- v(t) [V] Figure : IC layout of biasing circuit from Fig.. We evaluate the effectiveness of the biasing circuit by varying the supply voltage V DD and observing the output voltage V PBIAS. The supply voltage is varied from to V in a t [ps] Figure 6: Voltage response of Example.

6 . Concluding Remarks A hybrid full wave circuit simulator method based on FDTD was applied to the problem of IC analysis. The method fully takes into account semiconductor substrate effects on MIS line propagation. It also allows for the treatment of nonlinear devices. The analysis procedure was illustrated on a few simple CMOS IC cell examples. Good agreement with the conventional modeling approach was observed for the case of short interconnect lengths. For longer interconnect lengths, the full wave hybrid approach gives more accurate propagation delays and signal amplitudes than the traditional quasi-static approach. Due to its time domain nature, FDTD can be applied directly to digital IC design problems resulting in both conventional voltage and current results as well as field, voltage, and current distributions within the analyzed MIS structure. This provides the IC designer with more insight in to the analyzed problem. The method can be useful for analyzing critical nets or important small cells in IC designs. It can also be useful in evaluating faster, less accurate, IC analysis methods. References. H. Hasegawa, M. Furukawa, and H. Yanai, Properties of microstrip line on Si-Si system, IEEE Trans. Microwave Theory Tech., vol. 9, no., pp , Nov T. Shibata and E. Sano, Characterization of MIS structure coplanar transmission lines for investigation of signal propagation in integrated circuits, IEEE Trans. Microwave Theory Tech., vol. 8, no. 7, pp , July 99.. N. Orhanovic, R. Raghuram, and N. Matsui, Full wave analysis of planar interconnect structures using FDTD, st ECTC Proceedings, May.. David Boyce, LASI, Layout System for Individuals, R. J. Baker, H. W. Li, D. Boyce, CMOS Circuit Design, Layout, and Simulation, IEEE Press, New York, 998.

Full Wave Analysis of Planar Interconnect Structures Using FDTD SPICE

Full Wave Analysis of Planar Interconnect Structures Using FDTD SPICE Full Wave Analysis of Planar Interconnect Structures Using FDTD SPICE N. Orhanovic, R. Raghuram, and N. Matsui Applied Simulation Technology 1641 N. First Street, Suite 17 San Jose, CA 95112 {neven, raghu,

More information

Decomposition of Coplanar and Multilayer Interconnect Structures with Split Power Distribution Planes for Hybrid Circuit Field Analysis

Decomposition of Coplanar and Multilayer Interconnect Structures with Split Power Distribution Planes for Hybrid Circuit Field Analysis DesignCon 23 High-Performance System Design Conference Decomposition of Coplanar and Multilayer Interconnect Structures with Split Power Distribution Planes for Hybrid Circuit Field Analysis Neven Orhanovic

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF

Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF Nonlinear Full Wave Time Domain Solutions using FDTD_SPICE for High Speed Digital and RF Neven Orhanovic Raj Raghuram Norio Matsui 1641 North First Street, Ste 170 San Jose, CA-95112 PH: 408-436-9070 FAX:

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Transactions on Engineering Sciences vol WIT Press, ISSN

Transactions on Engineering Sciences vol WIT Press,   ISSN Efficient analysis of high frequency electronic circuits by combining LE-FDTD method with static solutions L.Cecchi, F. Alimenti, P. Ciampolini, L. Roselli, P. Mezzanotte and R. Sorrentino Institute of

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

A CAD-Oriented Modeling Approach of Frequency-Dependent Behavior of Substrate Noise Coupling for Mixed-Signal IC Design

A CAD-Oriented Modeling Approach of Frequency-Dependent Behavior of Substrate Noise Coupling for Mixed-Signal IC Design A CAD-Oriented Modeling Approach of Frequency-Dependent Behavior of Substrate Noise Coupling for Mixed-Signal IC Design Hai Lan, Zhiping Yu, and Robert W. Dutton Center for Integrated Systems, Stanford

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method

Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method Analysis of Microstrip Circuits Using a Finite-Difference Time-Domain Method M.G. BANCIU and R. RAMER School of Electrical Engineering and Telecommunications University of New South Wales Sydney 5 NSW

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

TIME-DOMAIN INTERCONNECT MODELING FOR UWB APPLICATIONS

TIME-DOMAIN INTERCONNECT MODELING FOR UWB APPLICATIONS TIME-DOMAIN INTERCONNECT MODELING FOR UWB APPLICATIONS Dr. Michael C. Heimlich Director, Consulting Services mike@mwoffice.com Dr. Evgeny Wasserman Development Engineer evgeny@mwoffice.com Ryan Welch Director,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Experiment 3 - IC Resistors

Experiment 3 - IC Resistors Experiment 3 - IC Resistors.T. Yeung, Y. Shin,.Y. Leung and R.T. Howe UC Berkeley EE 105 1.0 Objective This lab introduces the Micro Linear Lab Chips, with measurements of IC resistors and a distributed

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Exam Below are two schematics of current sources implemented with MOSFETs. Which current source has the best compliance voltage?

Exam Below are two schematics of current sources implemented with MOSFETs. Which current source has the best compliance voltage? Exam 2 Name: Score /90 Question 1 Short Takes 1 point each unless noted otherwise. 1. Below are two schematics of current sources implemented with MOSFETs. Which current source has the best compliance

More information

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications Kamaljeet Singh & K Nagachenchaiah Semiconductor Laboratory (SCL), SAS Nagar, Near Chandigarh, India-160071 kamaljs@sclchd.co.in,

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

6. Field-Effect Transistor

6. Field-Effect Transistor 6. Outline: Introduction to three types of FET: JFET MOSFET & CMOS MESFET Constructions, Characteristics & Transfer curves of: JFET & MOSFET Introduction The field-effect transistor (FET) is a threeterminal

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Fundamentals of Power Semiconductor Devices

Fundamentals of Power Semiconductor Devices В. Jayant Baliga Fundamentals of Power Semiconductor Devices 4y Spri ringer Contents Preface vii Chapter 1 Introduction 1 1.1 Ideal and Typical Power Switching Waveforms 3 1.2 Ideal and Typical Power Device

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) Revised 2/16/2007 ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) *NOTE: The text mentioned below refers to the Sedra/Smith, 5th edition.

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH)

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH) EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 7-1 Simplest Model of MOSFET (from EE16B) 7-2 CMOS Inverter 7-3 CMOS NAND

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Computer-Based Project on VLSI Design Co 3/8

Computer-Based Project on VLSI Design Co 3/8 Computer-Based Project on VLSI Design Co 3/8 This pamphlet describes a laboratory activity based on a former third year EIST experiment. Its purpose is the measurement of the switching speed of some CMOS

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits

Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits Finite Width Coplanar Waveguide for Microwave and Millimeter-Wave Integrated Circuits George E. Ponchak 1, Steve Robertson 2, Fred Brauchler 2, Jack East 2, Linda P. B. Katehi 2 (1) NASA Lewis Research

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

(Refer Slide Time: 02:05)

(Refer Slide Time: 02:05) Electronics for Analog Signal Processing - I Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras Lecture 27 Construction of a MOSFET (Refer Slide Time:

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1 EE 330 Lecture 7 Design Rules IC Fabrication Technology Part 1 Review from Last Time Technology Files Provide Information About Process Process Flow (Fabrication Technology) Model Parameters Design Rules

More information

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS CHAPTER 2 MODELING OF SELF-HEATING IN IC INTERCONNECTS AND INVESTIGATION ON THE IMPACT ON INTERMODULATION DISTORTION 2.1 CONCEPT OF SELF-HEATING As the frequency of operation increases, especially in the

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits Mosfet Review Sections of Chapter 3 &4 A. Kruger Mosfet Review, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width 1 10-6 m or less Thickness 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER

PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER 1 PIEZOELECTRIC TRANSFORMER FOR INTEGRATED MOSFET AND IGBT GATE DRIVER Prasanna kumar N. & Dileep sagar N. prasukumar@gmail.com & dileepsagar.n@gmail.com RGMCET, NANDYAL CONTENTS I. ABSTRACT -03- II. INTRODUCTION

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

TECHNICAL REPORT: CVEL

TECHNICAL REPORT: CVEL TECHNICAL REPORT: CVEL-13-041 Preliminary Investigation of the Current Path and Circuit Parameters Associated with the Characteristic Ringing in a MOSFET Power Inverter J. Hunter Hayes and Dr. Todd Hubing

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

FULLY INTEGRATED CURRENT-MODE SUBAPERTURE CENTROID CIRCUITS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 2

FULLY INTEGRATED CURRENT-MODE SUBAPERTURE CENTROID CIRCUITS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 2 FULLY NTEGRATED CURRENT-MODE SUBAPERTURE CENTROD CRCUTS AND PHASE RECONSTRUCTOR Alushulla J. Ambundo 1 and Paul M. Furth 1 Mixed-Signal-Wireless (MSW), Texas nstruments, Dallas, TX aambundo@ti.com Dept.

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Microelectronic Circuits

Microelectronic Circuits SECOND EDITION ISHBWHBI \ ' -' Microelectronic Circuits Adel S. Sedra University of Toronto Kenneth С Smith University of Toronto HOLT, RINEHART AND WINSTON HOLT, RINEHART AND WINSTON, INC. New York Chicago

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Z. Mokhti, P.J. Tasker and J. Lees Centre for High Frequency Engineering, Cardiff

More information

L MOSFETS, IDENTIFICATION, CURVES. PAGE 1. I. Review of JFET (DRAW symbol for n-channel type, with grounded source)

L MOSFETS, IDENTIFICATION, CURVES. PAGE 1. I. Review of JFET (DRAW symbol for n-channel type, with grounded source) L.107.4 MOSFETS, IDENTIFICATION, CURVES. PAGE 1 I. Review of JFET (DRAW symbol for n-channel type, with grounded source) 1. "normally on" device A. current from source to drain when V G = 0 no need to

More information

Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks

Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks Comparative Analysis of Intel Pentium 4 and IEEE/EMC TC-9/ACEM CPU Heat Sinks Author Lu, Junwei, Duan, Xiao Published 2007 Conference Title 2007 IEEE International Symposium on Electromagnetic Compatibility

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review

Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Schottky Diode RF-Detector and Focused Ion Beam Post-Processing MURI Annual Review Woochul Jeon, Todd Firestone, John Rodgers & John Melngailis University of Maryland. (consultations with Jake Baker Boise

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G A 15 GHz and a 2 GHz low noise amplifier in 9 nm RF CMOS Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G Published in: Topical Meeting on Silicon Monolithic

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information