Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Size: px
Start display at page:

Download "Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry"

Transcription

1 Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter Mueller, Ulrich Mayer GLOBALFOUNDRIES Module One Limited Liability Company & Co. KG Wilschdorfer Landstrasse 101, Dresden Abstract As chip dimensions are scaling down new challenges develop in the back-end-of-line. In order to keep the capacitance small while decreasing the volume of the inter-layer dielectric (ILD), new materials and processes have been introduced over the past years to lower the dielectric constant of the ILD layers. For design rules of 45nm and below porous Ultra low-k materials are widely used in today s semiconductor process flows. Beside process challenges this introduces stringent requirements for metrology not only to monitor film thickness but other properties of the material as well. This paper discusses the development and implementation of a Broadband Spectroscopic Ellipsometer for inline process control of a SiCOH based porous ultra low-k film. After deposition the material is cured with UV light to introduce the porosity. The challenge for the metrology is to measure both the thickness and an adequate metric for the chemical properties which do no longer correlate with optical properties. In addition the chemical properties vary as a gradient from top to bottom of the film. We discuss the methodology to develop a metrology recipe resulting in the thickness of a metric layer and the percentaged thickness shrink being the best parameters to sense and track the process adequately. Results demonstrate the sensitivity of the technique to process variations. Short term precision, long term stability and tool-to-tool matching results prove that the technique enables routine process monitoring in a high volume automated semiconductor fab. Inter-layer dielectric, ILD, ultra low-k, ULK, Metrology, Spectroscopic Ellipsometry, UV cure I. INTRODUCTION Semiconductor manufacturers are driven to an aggressive roadmap to improve device performance in order to remain competitive and provide technology that enables complex computations to be performed in reasonable time with high reliability. In the back-end-of-line (BEOL) of semiconductor processing one of the keys to stay competitive is the inter-layer dielectric (ILD). In order to avoid unwanted effects like capacitive charging or cross-talk between layers the capacitance of the ILD has to be kept small while its volume is decreasing due to shrinking chip dimensions. This led to the introduction of several new materials and processes to lower the dielectric constant of the ILD layers [1]. One of the major innovations in the recent past has been the introduction of porous ultra low-k (ULK) materials in the BEOL process flow. These materials with a typical dielectric constant of 2.6 and below are SiCOH-based and cured with UV light after deposition to generate the pores [2]. Besides the challenge to handle the process itself these new ILD films generate new metrology requirements in order to appropriately monitor and control the process conditions. This paper discusses the capabilities of optical film metrology to measure both the thickness and an adequate metric for the chemical properties which do no longer correlate with optical properties of the ILD material necessarily and vary as a gradient in the film. The existing metrology regime on another spectroscopic ellipsometer (SE) system only monitors the thickness after SiCOH deposition and secondly thickness and refractive index of the total film after UV cure. II. ELLIPSOMETRY METHODOLOGY This study uses the Aleris 8350 Broadband Spectroscopic Ellipsometer (BBSE) from KLA-Tencor. The signal captured by the ellipsometer (tanψ and cosδ) is sensitive to the refractive index and thickness of the film being measured. The chemical composition of the film is correlated with the optical properties i.e. the refractive index of the film. This is the basis for sensitivity of the ellipsometer to composition changes in the film. The Aleris 8350 is a broadband SE with effective wavelength range from 220nm to 800nm. The system has an effective measurement box size of 50 x 50 μm which enables in-line measurements on product wafers. The tool is further equipped with software for model based analysis of collected spectra. A homogenous model representing the film or stack being measured is used as a starting point with reasonable space where the thickness and refractive index can vary. A mathematical regression is performed to match the measured spectra to the modeled spectra resulting in an estimation of the thickness and refractive index. Advanced models such as Harmonic Oscillator (HO), Bruggeman Effective Medium Approximation (BEMA) or parametric gradient models can be implemented. In the case of the SiCOH-based ULK film a two layer approach with HO models consisting of two oscillators each was used as described in the next chapter /11/$ IEEE

2 III. BBSE MEASUREMENT SETUP In order to understand the key challenges and parameters of interest for the film metrology one needs to understand some characteristics and effects of the process to generate the SiCOH-based ULK film. A low-k SiCOH material is deposited together with an organic additive using a PECVD process. The UV-light cure process removes the organic additives which generates the pores in the material and leads to shrinkage of the ILD film. In order to enhance the mechanical properties esp. the cross-linking to the layer underneath the UV cure is extended further. In summary the cure process induces four effects in the ILD film: densification of the material, enhancement of mechanical parameters [4], loss of carbon and shrinkage [3]. Because the film is exposed with the UV light from above, these four effects fall off from top to bottom. In order to control the process adequately, this gradient in chemical properties of the film needs to be measured. An adequate process control metric would be the carbon concentration of the ULK film. This could be measured using Secondary ion mass spectrometry (SIMS). However, because the bottom of the film is of main interest in order to determine the condition of the interface to the layer underneath, SIMS cannot measure the gradient in carbon concentration. In addition SIMS analysis cannot be implemented as in-line metrology regime. That is why the carbon concentration response was not studied for this paper. The shrinkage of the film can be used as an overall monitor for the cure process and easily obtained by SE measurements after SiCOH deposition and after UV cure. However, this does not provide a measure for the gradient of the chemical properties nor the film characteristics at the bottom of the ILD film. In the past years composition measurements developed as a new field of application for optical thin film metrology (e.g. SiGe, Nitrided Gate, High-k Gate). The composition of the film is derived from some parameters of its optical properties, e.g. the refractive index with the correlation to reference metrology. In the case of the ULK film discussed herein this approach is challenged by the gap in sensitivity of reference metrology to the carbon concentration at the bottom of the layer and the nonmonotonic trend of the refractive index across the curing process duration as shown in Fig. 1 for the wavelength of 633nm. Ideally, a measured or derived parameter can be found, which correlates with the chemical properties i.e. the carbon concentration at the very bottom of the film. A. BBSE Sensitivity Study (DOE#1) In order to extract the film dispersions, gain an understanding which modeling approach could be used and study the sensitivity of BBSE to the parameters of interest, a first set of wafers was designed. The SiCOH films were deposited with approximately 25% of the target thickness and cured with different UV exposure durations. In addition, one uncured wafer was used to extract the dispersion of unexposed SiCOH. Fig. 2 illustrates the raw BBSE spectra from wafers with different exposure level. The spectral difference proves that the sensitivity of the Aleris 8350 BBSE signal to the variation in the process. After the dispersions have been extracted and compared for all exposure levels, different dispersion models and modeling approaches were investigated in order to figure out which gives the best sensitivity to the process variation and especially reflects the chemical properties at the bottom of the film. It was found that a two layer approach provided the best results. The model consists of a thin layer of unexposed SiCOH (T1) and a thick layer of exposed SiCOH (T2) on top. The thickness of the bottom layer is used as a metric for the exposure level at the very bottom of the film, which is of interest in order to control the film characteristics at the interface to the layer underneath. For the top layer, floating of the dispersion model is enabled in the regression to reflect the changes in optical properties of the film. The overall thickness of the ULK is represented by the sum of both thicknesses (SumT). As shown in Fig. 3, 4 and 5, all measured parameters correlate well to the variation in exposure time and match to the process expectation. In summary, the two-layer model approach is providing a metric for the film characteristics at the bottom of the film. Figure 1. The refractive index n at 633nm over UV exposure time taken from a reference SE system. n has a nonmonotonic trend across the UV curing duration. Both axes are normalized to the value of the process target. Figure 2. Raw spectra (tanψ and cosδ as functions of wavelength in nanometers) from three silicon wafers with ULK film of similar deposited

3 thickness but different UV curing duration illustrating the optical sensitivity to the process variation. B. Study of Sensitivity to Process Parameters (DOE#2) In order to study the influence of all potential process parameters and verify the recipe modeling approach, a second DOE wafer set was designed as described in Table I. The UV curing time is the major process parameter. Other influencing factors are the initial thickness of the SiCOH film before curing and the intensity of the UV lamp used in the curing process tool. The variation was limited to a reasonable range around the process target. The DOE was designed with two groups of wafers. In the first group (sample 2 to 11) only one parameter was varied and the other two fixed. In the second group (sample 12 to 19) all parameters were varied within the process range. Sample 1 was generated with all parameters at process target that represents the process of record (POR). Figure 3. Correlation of the measured thickness of the bottom layer T1 to the UV exposure time. T1 is sensitive to the process variation and provides a metric for the film characteristics at the interface to the underneath film. The fraction of unexposed SiCOH in the stack decreases with extended UV curing, following a logarithmic dependency. All values are normalized to the process target. Figure 4. Measured total thickness SumT and the thickness shrink vs. the UV exposure time. SumT decreases with extended UV curing causing the material shrink to increases. This behavior matches with the process expectations. All values are normalized to the process target. Figure 5. Refractive index n at two wavelength vs. UV exposure time. N shows the characteristic behavior over extended UV curing. All values are normalized to the process target. TABLE I. Sample# DOE#2 OVERVIEW, ALL VALUES ARE NORMALIZED TO THE PROCESS TARGET Nominal deposited thickness UV exposure time lamp power Measured deposited thickness (POR) % % 100.0% % % 99.5% % % 100.5% % % 103.2% % % 101.8% % % 98.3% % % 96.5% % % 100.4% % % 100.7% % % 100.7% % % 100.1% % % 102.0% % % 101.6% % % 102.2% % % 101.8% % % 98.4% % % 98.8% % % 98.8% % % 99.0% Analyzing the measured spectra using the recipe and dispersion model from the first study, it was found that the metric bottom layer thickness did no longer correlate to any of the process parameters, esp. the UV exposure time. This is mainly an effect of the larger film thickness which causes only a small portion of the BBSE signal to interact with the very bottom of the film. The measured value of the overall film total thickness and refractive index were still reasonable and correlated very well with the process parameters. In order to make the measurement sensitive to the more important metric for the bottom of the film, the model had to be revised. The final solution was developed using the same two layer approach dispersion models as extracted from the first DOE but allowing the dispersion parameters of the bottom layer to float in the model regression as well. Because both dispersions are similar, this increased the effect of correlation between both layers in the model. In order to keep the correlation between both layers at a minimum, the regression of the bottom layer parameters had to be limited within narrow ranges.

4 Figure 6. Correlation of the bottom layer thickness T1 to the process parameter UV exposure time. T1 shows a linear correlation trend and can be used as a metric for the film characteristics at the bottom of the layer. All values are normalized to the process target. Figure 8. Correlation of percentaged thickness shrink to the process parameter UV exposure time. The relative shrink shows a linear correlation trend and can be used as a process control parameter for the overall film. UV exposure time values are normalized to the process target. Figure 7. Correlation of the bottom layer thickness T1 to the process parameter lamp power. T1 shows a linear correlation trend and can be used as a metric for the film characteristics at the bottom of the layer. All values are normalized to the process target. Using the adjusted model the results for the metric layer thickness T1 improve significantly. As illustrated in Fig. 6 and 7, T1 provides a reliable metric for the film characteristics at bottom of the ULK film. Only the variation in initial thickness is not tracked by the measurement results. This is explainable with the correlation effects within the model, where a change in initial thickness is mainly compensated in the model s top layer. This gap in sensitivity is not an issue going forward because the SiCOH thickness after deposition is monitored independently in the process flow anyway. Furthermore, the measured percentaged shrink of the thickness due to the curing process was found to be an excellent measure for the effect to the overall film. The percentaged shrink provides linear correlation to all process parameters independent from the initial thickness before the curing process. Fig. 8, 9 and 10 illustrate that the measured parameter correlates well to the process parameters and meet the process expectations. In summary the developed recipe provides good sensitivity and meets the process expectations for all parameters of interest. The unique approach compared to standard SE measurements is the thin bottom layer which is just used as a metric layer with its thickness correlating to the chemical properties at the very bottom of the ILD film. Figure 9. Correlation of percentaged thickness shrink to the process parameter lamp power. The relative shrink shows a linear correlation trend and can be used as a process control parameter for the overall film. Lamp power values are normalized to the process target. Figure 10. Percentaged thickness shrink vs. process influence initial thickness. The relative shrink is constant and independent from the deposited SiCOH thickness. This behavior meets the process expectations. Thickness values are normalized to the process target. IV. VERIFICATION OF MEASUREMENT RESULTS Usually reference metrology is used in order to verify results of indirect measurements like SE. As already described, in the case of ULK, this reference is not available for the major parameter of interest the chemical properties i.e. the carbon concentration at the bottom of the film. That is why the Aleris results have to be correlated to the process parameters and

5 compared with process expectations. As described in the previous chapter, this was successful for all major parameters of interest. Furthermore the measured overall thickness and refractive index were compared to the existing metrology step on a different SE system. An excellent linear correlation with a slope close to 1 as illustrated in Fig. 11 confirms the measurement results of Aleris. Additionally the measured wafer uniformity was compared before and after the curing process. As shown in Fig. 12, the uniformity of the thickness remains in the same range whereas the uniformity of the refractive index becomes worse. This matches the process expectations. The huge variation in refractive index across the wafer and even locally within small areas after UV curing was confirmed for another project using a variable angle SE lab tool. A third measure for the reasonability of indirect measurements is to perform a line scan across the wafer, plot the results over the wafer diameter and compare the uniformity and shape of the plots between different wafers of a DOE set. The shape should be smooth, without flyers and show the same trend for all wafers as illustrated in Fig 13 for the major measured parameter bottom layer thickness T1. For all other parameters, the diameter scan shows consistent results across the entire DOE as well. Figure 13. Bottom layer thickness T1 over the wafer diameter for all wafers of DOE#2. The consistent shape across the entire process range confirms the Aleris results. Thickness values are normalized to the result in the center of the POR wafer. V. THROUGHPUT, MEASUREMENT PRECISION, STABILITY & MATCHING The tool throughput was recorded using the same measurement setup to achieve the performance discussed in this chapter. The throughput is based on 5- or 49-site measurement per wafer and measuring all 25 wafers of a lot. The results are listed in Table II. TABLE II. THROUGHPUT COMPARISON OF A 5-SITE- AND 49-SITE RECIPE USING THE MEASUREMENT SETUP BKM. Recipe MAM time/ s Throughput/ wph 5-site site Figure 11. Thickness and refractive index of reference SE system vs. Aleris results. The excellent correlation confirms that the Aleris results are matching to the baseline. All values are normalized to the results of the POR wafer. Figure 12. Wafer uniformity of thickness and refractive index before vs. after UV curing. The excellent correlation for thickness confirms the Aleris results. The missing correlation for the refractive index is explainable with a proven induced non-uniformity for optical parameters. All values are normalized to the results of the POR wafer. In order to prove the in-line capability and production worthiness of the Aleris measurement, the standard performance measures have been recorded with the developed recipe on a subset of 3 wafers, which were cured with exposure levels at the process target, slightly above and below. Precision was recorded on one Aleris tool measuring the same measurement site 10 times without unloading the wafer (static repeatability). The 3sigma of the 10 single results was calculated. Long-term stability was recorded on one Aleris system measuring a 49 point wafer map once per day over 5 days (dynamic repeatability). The 3sigma of the 5 wafer means was calculated. Tool-to-tool matching was recorded by measuring the 49 point wafer map once on 3 different Aleris systems. The range of wafer means was calculated. As illustrated in Fig. 14 and 15, the measurement performance of the Aleris 8350 is production worthy. Precision and matching is excellent for the measured parameters SumT and n. In comparison the performance for the metric layer T1 is poorer because the SE signal has much lower sensitivity to the very bottom of the layer. However the measurement is still repeatable. The result from the long-term test could not be used because the wafers where changing over time and the longterm results overlaid by changing wafer conditions.

6 would be desired, but could not be performed due to missing analysis capabilities. Figure 14. Relative static precision results for T1, SumT and n on a subset of 3 wafers. The precision for SumT and n is excellent. The precision for T1 is higher due to the low sensitivity of SE to the bottom of the film. REFERENCES [1] H. Geng, Semiconductor Manufacturing handbook, McGraw-Hill, 2005 [2] H. Ruelke, Integration of low-k and ULK interconnects TechArena SEMICON Europa 2009, October 2009 [3] U. Mayer, M. Hecker, H. Geisler, High volume ULK production, TechArena SEMICON Europa 2010, October 2010 [4] H. Geisler, U. Mayer, M.U. Lehr, P. Hofmann and H.-J. Engelmann, Profiling of the Mechanical Properties of Ultralow-k Films Using Nanoindentation Techniques, 2010 MRS Fall Meeting Proceedings, January 2011 Figure 15. Relative tool-to-tool matching results for T1, SumT and n on a subset of 3 wafers. The matching for SumT and n is excellent. The matching for T1 is higher due to the low sensitivity of SE to the bottom of the film. VI. SUMMARY AND OUTLOOK This paper discussed the development of an optical measurement approach to control and monitor the thickness and chemical properties of a UV cured, graded ultra low-k ILD film. The measurement is performed on KLA-Tencor s Aleris 8350 broadband spectroscopic ellipsometer tool. The approach to study the sensitivity of BBSE and the development of the model-based recipe for this indirect measurement was described. As outcome a recipe using a twolayer model was used where thickness and refractive index of both layers are floated in the model regression and reported as results. It was found that the thickness of the thin metric bottom layer can be used as a monitor for the chemical properties at the bottom of the ILD film which is the main parameter of interest. The percentaged thickness shrink can be used as a process control parameter for the overall film. All measured parameters correlate well with the process parameters and match the process expectations. The collected measurement precision, matching and throughput results confirm that the Aleris 8350 provides a production worthy inline metrology solution for this application. For a final confirmation of the measurement approach, a correlation of the measured bottom layer thickness to the real carbon concentration at the interface to the film underneath

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization UVISEL Spectroscopic Phase Modulated Ellipsometer The Ideal Tool for Thin Film and Material Characterization High Precision Research Spectroscopic Ellipsometer The UVISEL ellipsometer offers the best combination

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Electrical Characterization

Electrical Characterization Listing and specification of characterization equipment at ISC Konstanz 30.05.2016 Electrical Characterization µw-pcd (Semilab) PV2000 (Semilab) - spatially resolved minority charge carrier lifetime -diffusion

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers

Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers Application note Materials testing and research Authors Travis Burt Fabian Zieschang Agilent Technologies, Inc. Parts of this work

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-28-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD Meredith

More information

UVISEL 2. Interface. Thickness. Refractive index. Roughness. Extinction coefficient. Scientific Ellipsometric Platform

UVISEL 2. Interface. Thickness. Refractive index. Roughness. Extinction coefficient. Scientific Ellipsometric Platform Scientific Ellipsometric Platform The Ultimate Solution to Every Challenge in Thin Film Measurement Refractive index Interface Roughness Extinction coefficient Thickness Å to µm A Breakthrough in Thin

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced Angstrom Advanced PhE102-VASE PHE102 Variable Angle Spectroscopic Ellipsometer Angstrom Advanced Instruments for Thin Film and Semiconductor Applications sales@angstromadvanced.com www.angstromadvanced.com

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

LITE /LAB /SCAN /INLINE:

LITE /LAB /SCAN /INLINE: Metis Metis LITE /LAB /SCAN/ INLINE Metis LITE /LAB /SCAN /INLINE: Spectral Offline and Inline Measuring System, using Integrating Sphere, for coatings on foils/web and on large size glasses To ensure

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Kalman Filtering Methods for Semiconductor Manufacturing

Kalman Filtering Methods for Semiconductor Manufacturing Kalman Filtering Methods for Semiconductor Manufacturing Kameshwar Poolla Mechanical Engineering Electrical Engineering University of California Berkeley Outline Kalman Filtering Overview Ingredients Applications

More information

M J.A. Woollam Co., Inc. Ellipsometry Solutions

M J.A. Woollam Co., Inc. Ellipsometry Solutions M-2000 J.A. Woollam Co., Inc. Ellipsometry Solutions Speed Discover the Difference Focused M-2000 The M-2000 line of spectroscopic ellipsometers is engineered to meet the diverse demands of thin film characterization.

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Abstract Background

Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Abstract Background Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Christopher Merton and Scott Jones, 3M Corporate Research Lab, St. Paul, Minnesota, USA and Doug Pelleymounter,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan; Verification of the controllability of refractive index by subwavelength structure fabricated by photolithography: toward single-material mid- and far-infrared multilayer filters Hironobu Makitsubo* a,b,

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

CMOS Compatible Hyperspectral Optical Filters

CMOS Compatible Hyperspectral Optical Filters DOI 10.516/irs013/iP6 CMOS Compatible Hyperspectral Optical Filters Damiana Lerose 1, Detlef Sommer 1, Konrad Bach 1, Daniel Gäbler 1, Martin Sterger 1 X-FAB Semiconductor Foundries AG, Haarbergstr. 67,

More information

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters 12 August 2011-08-12 Ahmad Darudi & Rodrigo Badínez A1 1. Spectral Analysis of the telescope and Filters This section reports the characterization

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Photonics and Optical Communication

Photonics and Optical Communication Photonics and Optical Communication (Course Number 300352) Spring 2007 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering http://www.faculty.iu-bremen.de/dknipp/ 1 Photonics and Optical Communication

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Layout Analysis Floorplan

Layout Analysis Floorplan Sample Report Analysis from a Touch Screen Controller For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500,

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics Rashi Garg 1, Nadir Faradzhev 2, Shannon Hill 3, Lee Richter 3, P. S. Shaw 3, R. Vest

More information

Noise Analysis of AHR Spectrometer Author: Andrew Xiang

Noise Analysis of AHR Spectrometer Author: Andrew Xiang 1. Introduction Noise Analysis of AHR Spectrometer Author: Andrew Xiang The noise from Spectrometer can be very confusing. We will categorize different noise and analyze them in this document from spectrometer

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Characterization and Defect Inspection for 3D Stacked IC Technology Minapad 2014, May 21 22th, Grenoble; France Optical Characterization and Defect Inspection for 3D Stacked IC Technology J.Ph.Piel, G.Fresquet, S.Perrot, Y.Randle, D.Lebellego, S.Petitgrand, G.Ribette FOGALE

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Member of the Academy of Screen Printing Technology

Member of the Academy of Screen Printing Technology Member of the Academy of Screen Printing Technology Durable Stencil Selection (Resistance) Exposure Accurate Stencil Selection (Resolution) Film Quality Exposure & Washout Variables Printable Coating Technique

More information

Wafer-Edge Challenges

Wafer-Edge Challenges Wafer-Edge Challenges SEMI STEP Wafer Edge Profile SEMICON/West 2006 Tetsuo Fukuda SEMI Japan (Fujitsu) Japan Advanced Wafer Geometry Task Force SEMI Japan Abstract Issues on edge profile are discussed

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure Xi Li 1, Zheng Ren 2, Yanling Shi 1 1 East China Normal University Shanghai 200241 People s Republic of China 2 Shanghai

More information

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311)

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311) Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311) (invited) Formation and control of silicon nanocrystals by ion-beams for photonic applications M Halsall The University of Manchester,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

StarBright XLT Optical Coatings

StarBright XLT Optical Coatings StarBright XLT Optical Coatings StarBright XLT is Celestron s revolutionary optical coating system that outperforms any other coating in the commercial telescope market. Our most popular Schmidt-Cassegrain

More information

Tunable Color Filters Based on Metal-Insulator-Metal Resonators

Tunable Color Filters Based on Metal-Insulator-Metal Resonators Chapter 6 Tunable Color Filters Based on Metal-Insulator-Metal Resonators 6.1 Introduction In this chapter, we discuss the culmination of Chapters 3, 4, and 5. We report a method for filtering white light

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

Manufacturer Part Number. Module 4: CMOS SRAM Analysis

Manufacturer Part Number. Module 4: CMOS SRAM Analysis Manufacturer Part Number description Module 4: CMOS SRAM Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting Simon Hall,Paul Miller, Neil Haigh, Ben Thornton, Neil Haigh (Lux TSI) 25 th April 2013 Background

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Filters for Dual Band Infrared Imagers

Filters for Dual Band Infrared Imagers Filters for Dual Band Infrared Imagers Thomas D. Rahmlow, Jr.* a, Jeanne E. Lazo-Wasem a, Scott Wilkinson b, and Flemming Tinker c a Rugate Technologies, Inc., 353 Christian Street, Oxford, CT 6478; b

More information

Midaz Micro-Slab DPSS Lasers:

Midaz Micro-Slab DPSS Lasers: Midaz Micro-Slab DPSS Lasers: Higher power & pulse rate for higher speed micromachining Professor Mike Damzen Midaz Laser Ltd 4 June 2008 AILU Meeting Industrial opportunities in laser micro and nano processing

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

Design, Characteristics and Performance of Diamond Pad Conditioners

Design, Characteristics and Performance of Diamond Pad Conditioners Reprinted from Mater. Res. Soc. Symp. Proc. Volume 1249 21 Materials Research Society 1249-E2-4 Design, Characteristics and Performance of Diamond Pad Conditioners Doug Pysher, Brian Goers, John Zabasajja

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Zhichun Wang 1,3, Jan Ackaert 2, Cora Salm 1, Fred G. Kuper 1,3, Klara

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/2/4/e1501489/dc1 Supplementary Materials for A broadband chip-scale optical frequency synthesizer at 2.7 10 16 relative uncertainty Shu-Wei Huang, Jinghui Yang,

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

AVIA DPSS Lasers: Advanced Design for Increased Process Throughput

AVIA DPSS Lasers: Advanced Design for Increased Process Throughput White Paper AVIA DPSS Lasers: Advanced Design for Increased Process Throughput The Q-switched, diode-pumped, solid-state (DPSS) laser has become a widely employed tool in a broad range of industrial micromachining

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Advanced Features of InfraTec Pyroelectric Detectors

Advanced Features of InfraTec Pyroelectric Detectors 1 Basics and Application of Variable Color Products The key element of InfraTec s variable color products is a silicon micro machined tunable narrow bandpass filter, which is fully integrated inside the

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Graphene electro-optic modulator with 30 GHz bandwidth

Graphene electro-optic modulator with 30 GHz bandwidth Graphene electro-optic modulator with 30 GHz bandwidth Christopher T. Phare 1, Yoon-Ho Daniel Lee 1, Jaime Cardenas 1, and Michal Lipson 1,2,* 1School of Electrical and Computer Engineering, Cornell University,

More information

EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE. A. Jalar, S.A. Radzi and M.A.A. Hamid

EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE. A. Jalar, S.A. Radzi and M.A.A. Hamid Solid State Science and Technology, Vol. 16, No 2 (2008) 65-71 EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE A. Jalar, S.A. Radzi and M.A.A. Hamid School of Applied

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014

Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014 Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014 laurent.frey@cea.fr Outline Spectral filtering applications Consumer Multispectral Prior art Organic

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Chamber characterization and predictive maintenance of PECVD chamber

Chamber characterization and predictive maintenance of PECVD chamber Chamber characterization and predictive maintenance of PECVD chamber Michael Klick1, Percy Heger2 1Plasmetrex GmbH, 2Infineon AG Dresden, 1 Motivation Thickness variation of PECVD processes is caused by:

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information