2.5D & 3D Package Signal Integrity A Paradigm Shift

Size: px
Start display at page:

Download "2.5D & 3D Package Signal Integrity A Paradigm Shift"

Transcription

1 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World

2 Content Traditional package signal integrity vs. 2.5D/3D package signal integrity TSV equivalent circuit representation Power saving System level electrical analysis System configuration Pre-design stage Simulation Summary

3 Traditional Package Signal integrity vs. 2.5D/3D Package Signal Integrity Electric circuit made up of signal path and return path Standard 2D Package (signal path) 2.5D & 3D Package signal path

4 Quasi 3D Package Core logic is isolated from I/O interconnects I/O region Core region

5 True 3D Package Core logic is not isolated from I/O interconnects Die 1 Die 2 Die 3 Die 4 Die 5 Die 6 Die 7 Die 8 Die 9 I/O & Core regions TSV Electromagnetic radiations

6 Current Distribution for multi TSV Single Interconnect i Current is not uniformly distributed in TSV TSV pad-a TSV Pad-B i

7 1 St order Electrical Characteristic Model Silicon interposer Memory Cube CPU Memory Cube Memory Cube CPU Memory Cube De-Cap Organic substrate Cu Si SiO2 Insulator

8 TSV Equivalent SPICE Circuit Representation Series elements, resistance and inductance, plus shunt elements, capacitance and conductance Cu Si SiO2 Insulator T Circuit or π Circuit

9 TSV Equivalent Resistance Representation The first order model for the resistance is always the resistance of a cylinder of given length, diameter and material Resistance (mω) RAC RDC LTV length (um) for n:m diameter:length ratio l TSV is the TSV length, R TSV is the radius of the TSV, P cu resistivity of copper, δ skin effect

10 TSV Equivalent Capacitance Model The equivalent TSV capacitance is the most important as well as the most difficult parameter to model having a thin layer of silicon oxide deposited between the copper of the barrel and the silicon itself TSV capacitance is the series combination of silicon oxide capacitance and depletion capacitance metal Si SiO metal Cox Cdep Cox Cdep CTSV = Cox Cdep Cox + Cdep metal

11 TSV Equivalent Capacitance Model-2 ε ox is the electric permittivity of the silicon oxide, l TSV is the TSV length, R ox is the radius of the silicon oxide around the TSV, R metal is the radius of the TSV ε Si is the electric permittivity of the silicon, R max is the radius of the depletion region around the oxide. oxide and depletion capacitances as a function of the TSV length

12 The Propagation Path in TSV The signal time delay can be estimated using RC plot, at frequency region where the skin effect is not yet developed Normalized Value R RC C LTV length (um) for n:m diameter:length Ratio The effects of TSV in the propagation path from the source to the load can be modeled by inserting various T or π circuits to model the entire interconnects.

13 TSV Equivalent Inductor Model Inductance is less complex than the capacitance model (*) 1:10 ratio 1:5 ratio Inductor (ph) LTV length (um) for n:m diameter:length Ratio (*) IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 57, NO. 1, JANUARY 2010, Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional Ics. Guruprasad Katti, Michele Stucchi, Kristin De Meyer, and Wim Dehaene, Senior Member, IEEE

14 Silicon Substrate and I/O Power Reductions Average total system power reductions 20% to 40% Reduced I/O parasitic Removal of ASIC (FPGA) & Memory packages (bare die only) Short distance interconnects High resistance of lossy 1um-2um trace acts as damp resistor to reduce signal reflections Elimination of termination resistors on Chip P (power) = n * T * C * f * V n = number of signals switching T = Average toggle rate per clock cycle C = Total capacitance of the signal f = Clock frequency V = Voltage

15 2.5D/3D System Level Electrical Analysis I The complete die-to-die signal path of an interface Power & signals distributions, along with electromagnetic radiation and susceptibility Silicon interposer Signal paths can cross multiple levels of physical boundaries: Memory Cube CPU Memory Cube Chip, TSV, ubump, silicon interposer, organic/ceramic substrate, de-caps, package and system loads (PCB,& connectors) Memory Cube CPU Memory Cube Accurate IO model for low power I/O buffers Multiphysics approach Impact of the thermal and mechanical variations on the electrical characteristic of chip-to-chip interconnect De-Cap Organic substrate

16 2.5D/3D System Level Electrical Analysis II Pre-Design Stage Library Development Chip, I/O, TSV, ubump, interposer, package, stackup, etc. System configuration Different Topologies Component placements Estimating target parameters Interconnect delay, Set up and hold timing, etc. Simulation setup Frequency domain Time domain

17 2.5D/3D System Level Electrical Analysis III Electrical Simulations Accurate assessment of high speed and wide band data bus Time domain and frequency domain analysis for chip, package, and PCB models Efficient full-wave extraction of on-chip and the system Concurrent simulation of all major effects reflections and ISI crosstalk, simultaneous switching noise Detail Eye diagrams with timing reference Power grid concurrent simulation of all major effects to determine Setup and Hold margins Back annotate packaging analysis data to the silicon design tools to verify 3D interconnect impact on chip

18 Summary Traditional Package Signal integrity is not efficient for 2.5D/3D package Signal Integrity Carefully design consideration for TSV location on a chip Increase inter & intra chip coupling and noise sharing Concurrent simulation of all major effects Reflections and ISI crosstalk, simultaneous switching noise Detail Eye diagrams with timing reference Silicon and package design integration methodology to run system level analysis Silicon IP supplier must verify their libraries with 3D structure package Need for 3D package PDK

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits

Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits Analytical Modeling and Characterization of TSV for Three Dimensional Integrated Circuits G.SUBHASHINI 1, J.MANGAIYARKARASI 2 1 PG scholar, M.E VLSI design, 2 Faculty, Department of Electronics and Communication

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented. Mohammad Hosein Asgari

Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented. Mohammad Hosein Asgari Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented by Mohammad Hosein Asgari to The Graduate School in Partial Fulfillment of the Requirements

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Student Research & Creative Works

Student Research & Creative Works Scholars' Mine Masters Theses Student Research & Creative Works Summer 2016 De-embedding method for electrical response extraction of through-silicon via (TSV) in silicon interposer technology and signal

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

EM Noise Mitigation in Electronic Circuit Boards and Enclosures EM Noise Mitigation in Electronic Circuit Boards and Enclosures Omar M. Ramahi, Lin Li, Xin Wu, Vijaya Chebolu, Vinay Subramanian, Telesphor Kamgaing, Tom Antonsen, Ed Ott, and Steve Anlage A. James Clark

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

Introduction to Electromagnetic Compatibility

Introduction to Electromagnetic Compatibility Introduction to Electromagnetic Compatibility Second Edition CLAYTON R. PAUL Department of Electrical and Computer Engineering, School of Engineering, Mercer University, Macon, Georgia and Emeritus Professor

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University DesignCon 2008 Characterization Methodology for High Density Microwave Fixtures Dr. Brock J. LaMeres, Montana State University lameres@ece.montana.edu Brent Holcombe, Probing Technology, Inc brent.holcombe@probingtechnology.com

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

Probe Card Characterization in Time and Frequency Domain

Probe Card Characterization in Time and Frequency Domain Gert Hohenwarter GateWave Northern, Inc. Probe Card Characterization in Time and Frequency Domain Company Logo 2007 San Diego, CA USA Objectives Illuminate differences between Time Domain (TD) and Frequency

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Optimization Design and Simulation for a Band- Pass-Filter with IPD Technology for RF Front-end Application

Optimization Design and Simulation for a Band- Pass-Filter with IPD Technology for RF Front-end Application Optimization Design and Simulation for a Band- Pass-Filter with IPD Technology for RF Front-end Application Huijuan Wang 1,2,*, Jie Pan 1,2, Xiaoli Ren 1, Anmou Liao 1,2,Yuan Lu 1,2, Daquan Yu 2, Dongkai

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Microelectronic sensors for impedance measurements and analysis

Microelectronic sensors for impedance measurements and analysis Microelectronic sensors for impedance measurements and analysis Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Roberto Cardu Ph.D Tutor: Prof. Roberto Guerrieri Summary 3D integration

More information

Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session

Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session Unlocking the Power of GaN PSMA Semiconductor Committee Industry Session March 24 th 2016 Dan Kinzer, COO/CTO dan.kinzer@navitassemi.com 1 Mobility (cm 2 /Vs) EBR Field (MV/cm) GaN vs. Si WBG GaN material

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises

BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises I. EXERCISE NO 1 - Spot the PCB design errors Spot the six design errors in

More information

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device NXP Semiconductors Document Number: AN5377 Application Note Rev. 2, Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE 802.15.4 Device 1. Introduction This application note describes Printed

More information

Effect of package parasitics and crosstalk on signal delay

Effect of package parasitics and crosstalk on signal delay Effect of package parasitics and crosstalk on signal delay Francesc Moll and Miquel Roca moll@eel.upc.es miquel.roca@uib.es Electronic Eng. Dpt. Univ. Polit. Catalunya UPC Physics Department Univ. Illes

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices 240 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices Dae Hyun Kim,

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience und University Dept. of Electroscience EI170 Written Exam Integrated adio Electronics 2010-03-10, 08.00-13.00 he exam consists of 5 problems which can give a maximum of 6 points each. he total maximum

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure Xi Li 1, Zheng Ren 2, Yanling Shi 1 1 East China Normal University Shanghai 200241 People s Republic of China 2 Shanghai

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

The Challenges of Differential Bus Design

The Challenges of Differential Bus Design The Challenges of Differential Bus Design February 20, 2002 presented by: Arthur Fraser TechKnowledge Page 1 Introduction Background Historically, differential interconnects were often twisted wire pairs

More information

Categorized by the type of core on which inductors are wound:

Categorized by the type of core on which inductors are wound: Inductors Categorized by the type of core on which inductors are wound: air core and magnetic core. The magnetic core inductors can be subdivided depending on whether the core is open or closed. Equivalent

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Stephen Crump http://e2e.ti.com Audio Power Amplifier Applications Audio and Imaging Products

More information

Aries CSP microstrip socket Cycling test

Aries CSP microstrip socket Cycling test Aries CSP microstrip socket Cycling test RF Measurement Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents TABLE OF CONTENTS... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 6 Setup...

More information

3D Si Interposer Design and Electrical Performance Study

3D Si Interposer Design and Electrical Performance Study DesignCon 2013 3D Si Interposer Design and Electrical Performance Study Mandy (Ying) Ji, Rambus Inc. Ming Li, Rambus Inc. Julia Cline, Rambus Inc. Dave Secker, Rambus Inc. Kevin Cai, Rambus Inc. John Lau,

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information