Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי

Size: px
Start display at page:

Download "Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי"

Transcription

1 Design מבוא לתכנון VLSI ספרתי Extraction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel Slide 1

2 Extraction Extraction is a process of creating electrical representation (R&C) for layout interconnect Extraction could be done in two ways: 1. transistor level extraction 2. cell level extraction Resistance & Capacitance Slide 2

3 Delay calculation Total delay = device delay + interconnect delay + slew rate Slide 3

4 Basic concepts Capacitance Area (overlap) Fringe (edge) Nearbody (coupling) Slide 4

5 Parasitic Extraction What is parasitic capacitance? Electrical side effect depends on the shape of the signal and its neighborhood. Parasitic capacitance occurs both between geometries on a single layer and between geometries on different layers. Slide 5

6 What is parasitic resistance? Electrical side effect depend on the shape of the signal and resistivity of the interconnect layer Slide 6

7 Grounding coupling capacitors 20 pf 20 pf 20 pf RC representation: 1. C (lumped C) 2. RC (distributed RC) 3. RCC (distributed RC + coupling) Slide 7

8 Layout formats GDSII (stream) raw geometrical shapes LEF / DEF geometric shapes + netlist Slide 8

9 GDSII format Raw geometric shapes (polygons, paths) at diffrenet layers Texts (net names, pin names) at different layers Cell instances Available: Always Connectivity: Requires connectivity analysis and LVS Slide 9

10 LEF (abstract) Cell boundary Cell pins with names and locations Obstructions (used routing area) Slide 10

11 DEF Represents one level of layout Netlist, pins locations, cells placement, routing annotated per net Connectivity All the information embedded inside the LEF/DEF; no need for special processing Available from automatic P&R tools Slide 11

12 RC Formats DSPF (= SPF) most often used SPEF SPICE SBPF (for PrimeTime only) Slide 12

13 DSPF resistance and line capacitance of each segment in a net in a SPICE format Non SPICE statements: * NET NetName NetCap * I (InstancePinName InstanceName PinName PinType PinCap X Y) * P (PinName PinType PinCap X Y) * S (SubNodeName X Y) Slide 13

14 Net name and total capacitance Net nodes Port node Instance pin Subnode Capacitors Resistors * NET cpm_ips_rdata[2] PF * P (cpm_ips_rdata[2] O ) * I (sba_env:cpm_ips_rdata[2] sba_env cpm_ips_rdata[2] B ) * I (sbs_env/sbs_top:sbs_ips_rdata[2] *+ sbs_env/sbs_top sbs_ips_rdata[2] B ) * S (cpm_ips_rdata[2]:9) Cg1540 sba_env:cpm_ips_rdata[2] e-14 Cg1541 cpm_ips_rdata[2] e-14 Cg1542 cpm_ips_rdata[2]: e-14 Cg1543 sbs_env/sbs_top:sbs_ips_rdata[2] e-14 R1189 cpm_ips_rdata[2]:9 sbs_env/sbs_top:sbs_ips_rdata[2] 30.2 R1190 sba_env:cpm_ips_rdata[2] cpm_ips_rdata[2]: R1191 cpm_ips_rdata[2] cpm_ips_rdata[2]: cpm_ips_rdata[2] sba_env:cpm_ips_rdata[2] sbs_env/sbs_top:sbs_ips_rdata[2] Slide 14

15 Extraction tools xcalibre (GDSII) Calibre2Star (GDSII) StarXT (LEF / DEF) Slide 15

16 Target tools Timing Analysis tools (Cell and Trasistor Level) Noise Power grid Circuit Verification Tools Slide 16

17 The Flows Cell Level Transistor level extraction on GDSII LVS required Usually extract capacitance only Used for characterization, or in transistor level analysis tool with cell level block extraction Slide 17

18 The Flows Block Level Transistor Transistor level Transistor level level Cell level Slide 18

19 Chip level extraction on LEF/DEF down to block boundaries no LVS required extract RC extraction tool - StarXt analysis tool - PrimeTime backannotation - no need reduction - done on-the-fly need to define context to model inside blocks routing if obstructions do not exist Slide 19

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ROUTING Global Routing

ROUTING Global Routing ASICs...THE COURSE ( WEEK) ROUTING 7 Key terms and concepts: Routing is usually split into global routing followed by detailed routing. Suppose the ASIC is North America and some travelers in California

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices 240 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices Dae Hyun Kim,

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V) SPECIFICATION 1 FEATURES Global Foundries CMOS 55 nm Low drop out Low current consumption Two modes operations: Normal, Economy Mode operation Bypass No discrete filtering capacitors required (cap-less

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

The backend duplication method

The backend duplication method The backend duplication method - A Leakage-Proof Place-and and-route Strategy for Secured ASICs - CHES Workshop August 30th September 1st 2005 Edinburgh, Scotland, UK. Sylvain GUILLEY (*), Philippe HOOGVORST

More information

Mentor Analog Simulators

Mentor Analog Simulators ENGR-434 Spice Netlist Syntax Details Introduction Rev 5/25/11 As you may know, circuit simulators come in several types. They can be broadly grouped into those that simulate a circuit in an analog way,

More information

5.25 GHz Low Noise Amplifier Using Triquint MMIC Process

5.25 GHz Low Noise Amplifier Using Triquint MMIC Process 5.25 GHz ow Noise Amplifier Using Triquint MMIC Process Ben Davis December 11, 2000 MMIC Design Fall 2000 Instructors: John Penn, Craig Moore Table of Contents Summary...3 Introduction...4 Circuit Description...4

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Adding On-Chip Capacitance in IBIS Format for SSO Simulation

Adding On-Chip Capacitance in IBIS Format for SSO Simulation Adding On-Chip Capacitance in IBIS Format for SSO Simulation Raymond Y. Chen SIGRITY, Inc. Jan. 2004 DesignCon 2004 - IBIS Summit Presentation Agenda 1. Is IBIS good for SSO simulation 2. SSO simulation

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

due to power supply and technology. Process specifications were obtained from the MOSIS

due to power supply and technology. Process specifications were obtained from the MOSIS design number 85739 VLSI Design Chromatic Instrument Tuner For the design of the operational amplifier, we have to take into consideration the constraints due to power supply and technology. Process specifications

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Schematic and Layout Simulation Exercise

Schematic and Layout Simulation Exercise University of California, Berkeley EE141 Fall 2009 Laboratory Exercise 4 Schematic and Layout Simulation Exercise The objective of this laboratory exercise is to walk you through the process of simulating

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

4. Product Data. 4.2 Non-Geometrical Engineering Data

4. Product Data. 4.2 Non-Geometrical Engineering Data 4. Product Data 4.2 Non-Geometrical Engineering Data Requirements and Methods to Manage Data for Electronic Engineering, Automation, Production Planning etc. Overview Electronic Engineering Data Automation

More information

Experiment 3 - IC Resistors

Experiment 3 - IC Resistors Experiment 3 - IC Resistors.T. Yeung, Y. Shin,.Y. Leung and R.T. Howe UC Berkeley EE 105 1.0 Objective This lab introduces the Micro Linear Lab Chips, with measurements of IC resistors and a distributed

More information

presents High-Speed High-Accuracy 3D VLSI Extraction

presents High-Speed High-Accuracy 3D VLSI Extraction presents High-Speed High-Accuracy 3D VLSI Extraction ICCAD99 1 Motivation Interconnects Now Dominate VLSI " Timing " Signal Integrity 100 80 Gate Interconnect Delay [%] 60 40 20 0 0.5 µm 0.35 µm 0.25 µm

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains Interconnect Delay Compensation in Timing Analysis for Designs Containing Multiple oltage Domains Incentia Design Systems, Inc. 1. Introduction A timing signal may flow from one voltage domain to another

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits Hercílio M. Cavalcanti 1 and Leandro T. Manera 2 1 Hercílio M. Cavalcanti, CTI Renato Archer, Campinas, São Paulo,

More information

GA911 Design Kit V2.1 for Cadence Analog Artist: User Manual

GA911 Design Kit V2.1 for Cadence Analog Artist: User Manual CANADIAN MICROELECTRONICS CORPORATION SOCIÉTÉ CANADIENNE DE MICRO-ÉLECTRONIQUE GA911 Design Kit V2.1 for Cadence Analog Artist: User Manual ICI-073 R.J. Bolton University of Saskatchewan Canadian Microelectronics

More information

LC VCO Design Procedure

LC VCO Design Procedure L VO Design Procedure 116 UMTS VO VO design parameters Design requirement Oscillating frequency 2.1GHz Tuning range 400MHz Voltage swing 0.7V Phase noise -110dBc@1MHz Supply voltage 3V Power consumption

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Anish joseph Research Scholar Abstract: There exist several tools that can be used to predict the substrate noise profile of digital

More information

Principles of Current Source Modeling

Principles of Current Source Modeling Principles of Current Source Modeling Dipl.-Ing. Christoph Knoth Outline Brief Introduction Evolution of Timing Models Current Source Models Basics Characterization Implementation Application Summary 2

More information

Power Management Unit

Power Management Unit SPECIFICATION 1 FEATURES ihp SG25H4 SiGe BiCMOS 0.25 um Bandgap voltage source 1.12 V Constant current source 500 Hz to 140 khz frequency generator Standby mode Supported foundries: TSMC, UMC, Global Foundries,

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture- 05 VLSI Physical Design Automation (Part 1) Hello welcome

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

MHz phase-locked loop

MHz phase-locked loop SPECIFICATION 1 FEATURES 50 800 MHz phase-locked loop TSMC CMOS 65 nm Output frequency from 50 to 800 MHz Reference frequency from 4 to 30 MHz Power supply 1.2 V CMOS output Supported foundries: TSMC,

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

FinFET SPICE Modeling

FinFET SPICE Modeling FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 11: Wires, Elmore Delay

EE115C Winter 2017 Digital Electronic Circuits. Lecture 11: Wires, Elmore Delay EE115C Winter 2017 Digital Electronic Circuits Lecture 11: Wires, Elmore Delay The Wire transmitters receivers schematics physical EE115C Winter 2017 2 Interconnect Impact on Chip EE115C Winter 2017 3

More information

Introduction to Full-Custom Circuit Design with HSPICE and Laker

Introduction to Full-Custom Circuit Design with HSPICE and Laker Introduction to VLSI and SOC Design Introduction to Full-Custom Circuit Design with HSPICE and Laker Course Instructor: Prof. Lan-Da Van T.A.: Tsung-Che Lu Department of Computer Science National Chiao

More information

Placement and Routing of RF Embedded Passive Designs In LCP Substrate

Placement and Routing of RF Embedded Passive Designs In LCP Substrate Placement and Routing of RF Embedded Passive Designs In LCP Substrate Mohit Pathak, Souvik Mukherjee, Madhavan Swaminathan, Ege Engin, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

BITSTACKING WITH ONLY A COMPOSER SCHEMATIC EDITOR LICENSE TONY LAUNDRIE IC DESIGN ENGINEER. P.O. BOX 4000 CHIPPEWA FALLS, WI

BITSTACKING WITH ONLY A COMPOSER SCHEMATIC EDITOR LICENSE TONY LAUNDRIE IC DESIGN ENGINEER. P.O. BOX 4000 CHIPPEWA FALLS, WI BITSTACKING WITH ONLY A COMPOSER SCHEMATIC EDITOR LICENSE TONY LAUNDRIE IC DESIGN ENGINEER P.O. BOX 4000 CHIPPEWA FALLS, WI 54729 atl@sgi.com INTERNATIONAL CADENCE USER GROUP CONFERENCE SEPTEMBER 10-13,

More information

Measurement and Optimization of Electrical Process Window

Measurement and Optimization of Electrical Process Window Measurement and Optimization of Electrical Process Window Tuck-Boon Chan*, Abde Ali Kagalwalla, Puneet Gupta Dept. of EE, University of California Los Angeles (tuckie@ee.ucla.edu) Work partly supported

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Lecture 23 Encounter in Depth and Conclusion

Lecture 23 Encounter in Depth and Conclusion Lecture 23 Encounter in Depth and Conclusion Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Some Final Administrative Stuff 2 Class Project Presentation

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

Full-Chip Verification of UDSM Designs

Full-Chip Verification of UDSM Designs R. Saleh Simplex Solutions 521 Almanor Avenue Sunnyvale, CA 94086 (408) 617-6100 res@simplex.com Full-Chip Verification of UDSM Designs D. Overhauser Simplex Solutions 521 Almanor Avenue Sunnyvale, CA

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Physical Design of Monolithic 3D ICs with Applications to Hardware Security

Physical Design of Monolithic 3D ICs with Applications to Hardware Security Physical Design of Monolithic ICs with Applications to Hardware Security Chen Yan and Emre Salman Department of Electrical and Computer Engineering Stony Brook University (SUNY), Stony Brook, NY 11794

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

VERIFICATION OF SPICE MODEL OF MOSFET

VERIFICATION OF SPICE MODEL OF MOSFET VERIFICATION OF SPICE MODEL OF MOSFET Vishal V. Bodake 1,Prof.Amutha Jeyakumar 2,Devendrabhai Patel 3 1Student, Dept. of Electrical Engineering, VJTI, Mumbai, Maharashtra 2Associate Professor, Dept. of

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Layout-Oriented Synthesis of High Performance Analog Circuits

Layout-Oriented Synthesis of High Performance Analog Circuits -Oriented Synthesis of High Performance Analog Circuits Mohamed Dessouky, Marie-Minerve Louërat Université Paris VI (55/65) Laboratoire LIP6-ASIM 4 Place Jussieu. 75252 Paris Cedex 05. France Mohamed.Dessouky@lip6.fr

More information

EE 435 Switched Capacitor Amplifiers and Filters. Lab 7 Spring 2014 R 2 V OUT V IN. (a) (b)

EE 435 Switched Capacitor Amplifiers and Filters. Lab 7 Spring 2014 R 2 V OUT V IN. (a) (b) EE 435 Switched Capacitor Amplifiers and Filters Lab 7 Spring 2014 Amplifiers are widely used in many analog and mixed-signal applications. In most discrete applications resistors are used to form the

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: 100 MHz, 10 dbm direct VCO modulating FM transmitter Project number: 4 Project Group: Name Project

More information

An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages

An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages An Implementation of a 32-bit ARM Processor Using Dual Supplies and Dual Threshold Voltages Robert Bai, Sarvesh Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David Blaauw University of Michigan,

More information

The Miller Approximation. CE Frequency Response. The exact analysis is worked out on pp of H&S.

The Miller Approximation. CE Frequency Response. The exact analysis is worked out on pp of H&S. CE Frequency Response The exact analysis is worked out on pp. 639-64 of H&S. The Miller Approximation Therefore, we consider the effect of C µ on the input node only V ---------- out V s = r g π m ------------------

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

EE 508 Lecture 28. Nonideal Effects in Switched Capacitor Circuits. Charge Injection Alaising Redundant Switch Removal Matching

EE 508 Lecture 28. Nonideal Effects in Switched Capacitor Circuits. Charge Injection Alaising Redundant Switch Removal Matching EE 508 Lecture 28 Nonideal Effects in Switched Capacitor Circuits Charge Injection Alaising Redundant Switch Removal Matching Nonideal Effects in Switched Capacitor Circuits Parasitic Capacitances Charge

More information