28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Size: px
Start display at page:

Download "28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM"

Transcription

1 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

2 Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology Innovation in Design for Manufacturing Solutions Advanced Rule-Based Verification (Yield Analysis and Yield Enhancement) Si-Accurate Model-Based Printability Verification Novel Flow: 2D Shapes Pattern-Matching Based Physical Design Verification Model Accuracy and Full-Chip Performance out Compromise Manufacturing Design-Enabled Manufacturing: Faster Yield Ramp and Foundry Customer Value Vito Dai, Ph.D. Architect 2

3 Computational Technology Scaling MinPitch 2 k NA nm Technology Scaling requires increased process complexity: i.e. reduction in k 1 factor (theoretical min=0.25) 1.35 (max) Computational Technology Scaling: Systematic reduction of k 1 factor by rigorous Co-Optimization of: (1) Physical Design (Layout, Design Rules, Place and Route) (2) Process (Illumination, Lithography, Mask RET, OPC, etc.) Computational Scaling is also known as: Design-Process-Technology Co-Optimization Vito Dai, Ph.D. Architect 3

4 GLOBALFOUNDRIES has a long history in Design-Technology Co-Optimization 130nm SRAM Traditional layout 90nm SRAM Uni-directional poly DTCO 65nm SRAM Uni-directional active 45nm SRAM Immersion, uniform poly CD 32nm SRAM Double patterning 20nm SRAM Higher NA immersion Jongwook Kye - Strategic Lithography Vito Dai, Ph.D. Architect 4

5 At 28nm and below: Physical Design dependent Yield Yield Ground Rules (binary) turn into DFM Rules (continuous) 100% Traditional Design Rule 0% 0 allowed minimum space Yield Dependency for a given Design Rule, for different layout features: due to complex RET/OPC, Optical/Process effects, etc. Feature-Feature Space Vito Dai, Ph.D. Architect 5

6 At 28nm and below: Si-Accurate Model-Based Verification DRC Clean Design-Rules Compliance does NOT guarantee Yield due to: Non-Linearity Effects Induced by Sub-Wavelength Fabrication. Furthermore OPC cannot fix all Yield Limiters configurations Manufacturable Process Window Model-Based Printability Hotspots Verification DRC Clean Process Window - Yield Limiters Vito Dai, Ph.D. Architect 6

7 Rule-Based DFM (Recommended Rules) drives Physical Layout Construction Quality Productivity Vito Dai, Ph.D. Architect 7

8 Model-Based DFM (Printability Hotspots) drives Physical Layout Optimization/Compaction Layout Optimization AND Area Compaction Vito Dai, Ph.D. Architect 8

9 Novel DFM Flow: drives Full-Chip Physical Verification M01 Necking Process Hotspot Verification 2D Shape-Based Pattern Matching DRC 2 CAs printed small Vito Dai, Ph.D. Architect 9

10 : Based on 2D Shapes Pattern-Matching: 10,000X Faster than Simulation Dr. Vito Dai GLOBALFOUNDRIES IEEE Litho Workshop 2009 Vito Dai, Ph.D. Architect 10

11 Configurations + Patterns = Rules Configuration: tip-to-side : DRC constraint 60 nm DFM constraint 80 nm DRC Plus Rule Deck Dr. Vito Dai Vito Dai, Ph.D. Architect GLOBALFOUNDRIES IEEE Litho Workshop

12 # of Patterns Geometrical Clustering Dr. Vito Dai GLOBALFOUNDRIES IEEE Litho Workshop Unique 2 3 to to to 100 > 100 # of occurences of a Pattern in a Sample Layout Vito Dai, Ph.D. Architect 12

13 # of Patterns Clustering and Printability Analysis Sensitivity to Manufacturing Process Variations (mean) Vito Dai, Ph.D. Architect 13

14 provides ACCURATE and FAST DFM Physical Verification in the Design Flow Automated Layout Analysis Library of Rules Ultra-Fast Pattern-Matching in the Design Environment Industry First from GLOBALFOUNDRIES Vito Dai, Ph.D. Architect 14

15 Now: DFM Full-Chip Physical Verification at 28nm and below Rule Library Industry first Si-validated Yield-critical patterns only from available now DFM Service Encounter Virtuoso Calibre ICV/ICC Vito Dai, Ph.D. Architect 15

16 Enables DFM Physical Verification in Timing Closure Loop Traditional flow enabled flow Timing Closure Placement Placement Timing Closure Routing ECO Routing ECO STA Pattern Library Physical Verification DRC LVS Printability Simulation ECO STA Physical Verification DRC LVS Printability Simulation optional ECO Vito Dai, Ph.D. Architect 16

17 Performance of DFM Verification & Fixing: vs. Printability Simulation CPU Time in Thousands of Seconds 4 days CPU Runtime: Design Routing Simulation or 3,596 5,434 2, hours A PS A B PS B C PS C D PS D E PS E F PS F G PS G H PS H Routing CPU Time Printability Simulation CPU Time Detection Time Fixing Time DAC 2011 User Track Best Paper Pattern-based Physical Verification in the Design Flow Vito Dai, Ph.D. Architect 17

18 DFM Toolkit Roadmap and Availability DFM Focus Area Primary (Secondary) Tool 65nm-LP/G Release 65nm-LPe Release 55nm-LPe Release 45nm Release 40nm-LP/G Release 28nm Release DFM Rules and Priority Rule-Based DFM Verification, Analysis, Enhancement Design Rule Manual Mentor Calibre CFA, YA, YE Available Available Available Available Available Available Available Available Available Available Available Available Critical Area Analysis Mentor Calibre CAA Available Available Q Available Available Available (pre-release) Litho./Etch Printability Process Verification Mentor LFD Available Available Available Available Available Available (Cadence LPA) (Mx Available) (Mx Available) (TBD) (Mx Available) (TBD) X GF + EDA X X X CMP Modeling, Verification Custom Release Q Cadence CCP Available X X Available Q Mentor CMP X X X Available Q Available CND/MGC Available (pre-release) Available (pre-release) Vito Dai, Ph.D. Architect 18

19 DFM: Design For Manufacturing DEM: Design-Enabled Manufacturing DFM and DEM are 2 complementary set of methodologies, which mirror one another by tightly coupling flows in the design space process metrology and yield data in the manufacturing space. DFM provides process awareness into the design cycle through accurately calibrated models and novel verification flows (DFM sign-off). DEM enables manufacturing/design co-optimization, using automated physical design analysis and characterization which in turn drive process optimization fine-tuned to specific customer product designs. Design: DFM Process: DEM Vito Dai, Ph.D. Architect 19 19

20 DFM Enabling First-Silicon-Success DFM Solutions at GLOBALFOUNDRIES A superior portfolio of TOOLS and SERVICES DFM Yield Scoring (CAA/CFA) Hotspot Verification MAS - Rule-Based DFM Optimal Fill/CMP Modeling Vito Dai, Ph.D. Architect 20

21 DFM Si-Accurate Design Flows GLOBALFOUNDRIES is happy to announce the donation to the Si2 Process Aware Router Implementation industrial community of the complete E-DFM Design/Technology set of data structures for Co-Optimization Non-Rectangular patterns (including XML, XSD, Transistor Modeling examples and users documentations) Stress Modeling Decomposition Scoring Si-Based Timing Analysis 20nm Enablement Vito Dai, Ph.D. Architect 21

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond

Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond Luigi Capodieci, Ph.D. R&D Fellow Luigi DFM Capodieci, Ph.D. R&D Fellow Managed Variability and DFM Outline:

More information

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie Local Fix Based Litho- Compliance Layout Modification in Router NAME: ØÙ Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie 1 Outline Lithography & OPC Introduction Graduate Institute Electronic Engineering,

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Post-OPC verification using a full-chip Pattern-Based simulation verification method Post-OPC verification using a full-chip Pattern-Based simulation verification method Chi-Yuan Hung* a, Ching-Heng Wang a, Cliff Ma b, Gary Zhang c, a Semiconductor Manufacturing International (Shanghai)

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd

TSMC Property. The Impacts of BSIM. Sally Liu TSMC. S. Liu TSMC, Ltd Dec 13, 2012P TSMC, Ltd The Impacts of BSIM Sally Liu TSMC 1 The Impacts of BSIM Outline What is BSIM Industry standard Breadth and depth Moving forward 2 What s in a name of BSIM The making of BSIM 631 papers in IEEE Explore

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble 1 Electronic Design Automation at Transistor Level by Ricardo Reis Preamble 1 Quintillion of Transistors 90 65 45 32 NM Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Optimized Routing Methods for VLSI Placement Design

Optimized Routing Methods for VLSI Placement Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 27-31 Optimized Routing Methods for VLSI Placement Design Mr. Rachapudi

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Electrical modeling of imperfect lithographic patterning

Electrical modeling of imperfect lithographic patterning Electrical modeling of imperfect lithographic patterning Puneet Gupta* Tuck-Boon Chan, Rani S. Ghaida Dept. of EE, University of California Los Angeles (puneet@ee.ucla.edu) Work partly supported by NSF,

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO Foundry processes for silicon photonics Pieter Dumon 7 April 2010 ECIO Photonics Research Group http://photonics.intec.ugent.be epixfab Prototyping Training Multi project wafer access to silicon photonic

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

Processing and Reliability Issues That Impact Design Practice. Overview

Processing and Reliability Issues That Impact Design Practice. Overview Lecture 15 Processing and Reliability Issues That Impact Design Practice Zongjian Chen Zongjian_chen@yahoo.com Copyright 2004 by Zongjian Chen 1 Overview As a maturing industry, semiconductor food chain

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC Waveguide Bragg Gratings and Resonators JUNE 2016 1 Outline Introduction Waveguide Bragg gratings Background Simulation challenges and solutions Photolithography simulation Initial design with FDTD Band

More information

Measurement and Optimization of Electrical Process Window

Measurement and Optimization of Electrical Process Window Measurement and Optimization of Electrical Process Window Tuck-Boon Chan*, Abde Ali Kagalwalla, Puneet Gupta Dept. of EE, University of California Los Angeles (tuckie@ee.ucla.edu) Work partly supported

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 2 WHAT IS LEAN NPI AND HOW TO ACHIEVE IT

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 2 WHAT IS LEAN NPI AND HOW TO ACHIEVE IT W H I T E P A P E R LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 2 WHAT IS LEAN NPI AND HOW TO ACHIEVE IT RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS w w w. o d b - s a. c o m In

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

700 SERIES 20V BIPOLAR ARRAY FAMILY

700 SERIES 20V BIPOLAR ARRAY FAMILY Device Engineering Incorporated 0 E. Fifth St. Tempe, AZ 858 Phone: (480) 303-08 Fax: (480) 303-084 E-mail: admin@deiaz.com 00 SERIES 0V BIPOLAR ARRAY FAMILY FEATURES 0V bipolar analog array family of

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Resolution Enhancements Techniques for the 45nm node and Beyond

Resolution Enhancements Techniques for the 45nm node and Beyond Resolution Enhancements Techniques for the 45nm node and Beyond by Eng. Ahmed ElSayed Salem Farag Omran Electronics and Communications Department Faculty of Engineering, Cairo University A Thesis Submitted

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information