Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Size: px
Start display at page:

Download "Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty"

Transcription

1 IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty Held at SanDisk, Milpitas September 24, 2008 For further dialog contact the IMPACT faculty: Puneet Gupta, Andrew Kahng, Andy Neureuther, Kameshwar Poolla, Costas Spanos University of California Berkeley San Diego Los Angeles 1

2 IMPACT UC Discovery Industry Team Thanks! University IMPACT Lithography/DfM of California Berkeley Roundtable San 2 Diego Los

3 Roundtable Approach Objective: guide research in the IMPACT program understand litho & DfM challenges in industry understand research capabilities in universities what are issues that are relevant and timely to industry and academic in nature Format: 2 hours of presentations (8 industry, 5 faculty) Summarized by speaker suggestions 2 hours of dialog 45 participants (30 ind.15 univ.) Summarized by topics (DfM, Variability, Physics) IMPACT Lithography/DfM Roundtable 3

4 Speakers Industry Lars Liebmann, IBM, ASIC Chandu Gorla, Flash Memory, San Disk Vivek Singh, Microprocessors, Intel Zhenhai Zhu, Double Patterning, Cadence Huixiong Dai, thin-films for pattern transfer, AMAT Mamoru Miyawaki, Exposure tools, Canon Bob Socha, Exposure tools, ASML Stan Stokowski, Inspection, KLA-T University of California Andrew Kahng, UCSD DfM tools Puneet Gupta, UCLA DfM tools Kameshwar Poolla, UCB Algorithms Costas Spanos, UCB variability and assignment of causes Andy Neureuther, UCB fast-cad, electrical test, EM IMPACT Lithography/DfM Roundtable 4

5 Main Points DfM is all about knowing how much performance to leave on the table to make the IC manufacturable. DfM (or computational Lith., etc.) is expected to provide a full generation now that lithography is no longer scaling. DfM is time-changing as DfM results acted on in the fab improve the process but design requires models extrapolated to the future improvement at tapeout date. DfM helps manage complexity Support playing what-if games at macro or product level Need to make tolerance consequences and budgets visible Scaling layouts to the next generation no longer works - requires design Double patterning technology is nearly here for the 22nm node (logic and flash) and 32nm node (memory) as a necessary reality. The choice of DP method depends on product and tolerances including overlay DP methods require rethinking layout concepts and metal layers in design Thick mask EM effects must be mitigated Need better models of all physical processes including 3D effects Simulated assisted metrology is required IMPACT Lithography/DfM Roundtable 5

6 Mechanical Models for Long Range Stress Effects IMPACT Lithography/DfM Roundtable 6 Lars Liebmann, IBM Challenge: DfM needs to reflect the improvement of process with time. Once actionable, modeled, systematic sources of variation are found through statistical methods (of DfM) they may be corrected in either Fab or Design. What the designer wants is not a current but a future model of process maturity at the tapeout date for their product. Research Suggestions: (Some of these are detailed in later slides) Process Variation Analysis, Decomposition, and Correlation that would facilitate more focused process or layout optimization More Rigorous Approximation to Mask EMF Effects including high- NA and parallelized codes Extension of fast first principle predictive modeling solutions to etch, CMP, implant, anneal Simulation Assisted Metrology for understanding inspection and improving extraction of meaningful metrics

7 Chandu Gorla, SanDisk Challenge: In Flash memory generations are only 12 months apart Immersion is in production, spacer pattern doubling is nearly here, and EUV and Imprint are just around the corner Suggestions: Materials for double patterning: freeze or multiple exposure; single layer double exposure Overlay Odd and even pattern dependence: understanding and modeling the interaction between litho and etch DfM needs to be flexible to include novel integration schemes and their tolerances EUV and Imprint need study IMPACT Lithography/DfM Roundtable 7

8 Vivek Singh, Intel Comment: The following suggestions emphasize modeling and algorithms. Topics that are theoretical are easy to transfer quickly to industry. Suggestions: Recovering the lost 3 rd dimension (resist thickness and mask edge effects). Litho effects lost in the popularity of OPC with only 2D effects Mask scattering to include thick-mask effects at advanced nodes Inverse Lithography that is fast and not too fragmented Optimization algorithms that are fast for sizeable numbers of discrete variables Litho/Etch coupled models with innovation in fast formulations for the complex physics of etch. IMPACT Lithography/DfM Roundtable 8

9 Zenhai Zhu, Cadence Challenge: Splitting a layout for double patterning is not just a tapeout problem Scaling often requires going back into the design for contacts, redundant vias, etc Restricted Design Rules are often ridiculously restricting Suggestions: Automated netlist to physical layout Single-contact process (Reliable litho, deposition, electroplating of small holes) IMPACT Lithography/DfM Roundtable 9

10 Huixiong Dai, Applied Challenge: Double patterning is the only feasible solution for 32nm HP and beyond before Meeting NAND Spec (+/-10%) for 32nm and 29nm Half-Pitch 26nm and 22nm is limited by resist LWR Suggestions: Sidewall Spacer Double Patterning with non-gridded Design requires Design Evolution for Logic Highly regular gridded designs are promising for 44 nm pitch but a greater patterning concern is the isolated slots Resist LWR is an industry wide challenge and it interplays with the core and space tolerances IMPACT Lithography/DfM Roundtable 10

11 Mamoru Miyawaki, Canon Challenge: Future nodes such as 22 nm require very careful examination of techniques and tolerance budgets. Suggestions: Verification methodology to reproduce the actual experimental data by DfM software. (How many parameters? Accuracy for each?) DFM provide a system for understand every aspect of the whole process and error budgets Methods for monitoring very low lens aberrations and assuring that aberrations have virtually zero effect on lithography. IMPACT Lithography/DfM Roundtable 11

12 Bob Socha, ASML Challenge: DPT for the 22nm node (logic) and 32nm node (memory) is a necessary reality Suggestions: Overlay is critical in double patterning and in vertical scaling (3D layout) Illumination source and Mask Optimization needs to interact with designers, fab, and scanner vendor How can this interaction knowledge be included in the design library and in the place and route CAD tools IMPACT Lithography/DfM Roundtable 12

13 Stan Stokowski, K-T Challenge: It is not just a matter of seeing the variation but rather how this information can be used to optimize all of the settings Suggestions: Understand IC process control on a broader basis and determine what to measure and inspect for controlling the process Lithography simulation of mask 3D effects at the wafer Identify issues in EUV in advance Identify issues in Imprint in advance IMPACT Lithography/DfM Roundtable 13

14 DFM Wishlist Discussion 1 (Gupta, Kahng) #1. Accurate, quick map from design rules to product quality (area, power, frequency, yield, (COO)) (Rob Aitken, ARM) need an infrastructure within which to play such what-if games at macro or product level (Lars Liebmann) Framed by SPICE/ITRS parameters, or TCAD, or process knobs? physical parameters (Lg) preferred to electrical (Vt) for informing process (Vivek Singh, Lars Liebmann) #2. New ways for design to ease required process control capability (Zhilong Rao, AMAT) #3. Design flow integration of systematic variation models Orthogonality of designs to systematic variations (related to above #1, #2) + Metrology to support But, aren t big systematic issues solved in the fab? (Lars Liebmann) random variations increasing in significance (JL de Jong, Xilinx) Wafer-level systematic variation is less well-addressed than die/field-level where OPC, PPC have had success (Costas Spanos) #4. Research on DPL bimodal problem Xilinx: already study mismatch; assume have to extend to DPL context ASML / Socha: feel this is valuable work; make sure to cover overlay as well as CD DPL flavor: bimodal distribution of space, vs. bimodal distribution of width IBM (Lars): bimodal problem is unacceptable, and hence uninterested in this process if such a problem exists(!) assume that this is controlled Interconnects also of interest (Lars); ongoing at UCLA, UT, N.B.: even if distributions perfectly matched, still lose spatial correlation Solving a single-layer formulation may be irrelevant! (Apo, Cadence) University solvers: transferability of code is of interest (IBM, ASML,...) IMPACT Lithography/DfM Roundtable 14

15 DFM Wish list Discussion 2 (Gupta, Kahng) #5. Layout automation for fixed pitch / fixed orientation (JL de Jong, Xilinx) May be beyond capabilities of university research? (Lars) But, prototypes of new ideas possible? (Andy) IMPACT can at least help industry make a decision Cf. Rob Aitken wishlist #1 #6. Miyawaki-san (Canon) comment: How do I determine my budget out of a DFM tool? Issue today: electrical budgets not necessarily well-aligned to process budgets Cf. LEE rule / taper shape / leakage-area correlation of metrics UCLA/UCSD Can you even establish yield impact of a litho hotspot? (Lars) Can you tell me the exact yield impact of double-cut vs. single-cut vias? (Lars) (Berkeley work (Spanos, Poolla) is responsive to these types of questions...) #7. (Huixiong Dai, AMAT) Have you looked at layout that is specifically directed at spacer double-patterning? UCLA is starting to look at this a bit (e.g., M1 patterning) maybe some insights within a few months General comments Time horizon? Tractability to students? Nature of application/specific research: artifactual, less generalizable or impactful than a new framework or theory? (Vivek) Ultimately, DFM tools must become more integrated into overall process planning and budgeting (Andy) IMPACT Lithography/DfM Roundtable 15

16 Metrology and Algorithms Wish list Discussion 3 (Poolla and Spanos) #1 Uncertainty in Circuit Simulation Is there a need to go beyond the 4 corner model of spread in performance? (Yes) Is there a need to propagate uncertainty through models that are nonlinear (max)? How are results of uncertainty characterization reinserted into design flow? Does not matter as both design and process have limitations. This is parametric loss Understanding robustness to estimation errors. #2 Accuracy of Circuit modeling Are all the models under zero variability conditions that go into tool sufficiently accurate to be predictive of circuit performance. SPICE 15% to 20% (but derivative is more accurate.) Voltage and temp may dominate corner speed estimates more than process Designers might choose devices with low variability (fixed pitch price) this needs to be included in Circuit simulation options in SPICE) Tool to help make a decision before a layout exists. Interaction between design models and layout and need to include and quantify other factors such as temp. Corners assume convex hull but possibility that worst situation may be in the middle (temp). IMPACT Lithography/DfM Roundtable 16

17 Metrology and Algorithms Wish list Discussion 4 (Poolla and Spanos) #3 What is DfM? DfM is all about how much you leave on the table to make it manufacturable and knowing how much that is. Looking for us to estimate the performance benefit of the best possible variation reduction. (How much faster would the circuit run?) Alternatively to modeling uncertainty DfM is about reducing variation and could use the 5 tools described. If wavelength gap had not increase would we have had a need for DfM? How much is Litho Today? #4 DfM Scope Does place and route use models? Real data driven used upstream in design? Need layout checked afterward. Monte Carlo is slow is there sampling ways to speed it up. #5 Roles for DfM At 90 nm processes are well characterized yet full process aware design might result to the improvement of a full generation. The next generation plans to get the realizable speed extrapolation from the previous generation but process discontinuities (metal or new foundry) require a new guess. This is a challenge for data driven modeling. A solution is to keep layout and recalibrate on 10% structures, group and see effects, and focus on possible causes at length scale. Apply machine learning to translate existing (SEMs) to changes in process IMPACT Lithography/DfM Roundtable 17

18 Litho Wish list Wish list Discussion 5 (Neureuther) #1 Sources of Variation: Methods for identifying variations due to illumination in addition to focus and dose Masks: More Rigorous Approximation to EMF Effects Overlay and propagation in 3D Methods for aberration measurements and assurance of zero contribution to patterning #2 Process Modeling Extension of Process Modeling {Litho 3D, Fast Image {ideas from other fields}, Etch, Anneal, CMP} Mechanical Models for Long Range Stress Effects on wafer distortion Simulation Assisted Metrology CD SEM is uncaligbrated compared to artifact (10 nm uncertainty) 3 rd Dimension is lost here as well #3 Future Process {equivalent of negative resist?} Look ahead to understand issues in EUV and IMPRINT 3D Integration Double patterning (reach through, CMP, resistance of contact both yield and circuit performance) Some work on stacking but not being pursued yet Fixed pitch small circuits study on how well work and how much leave on table (EDA Ind) Need automatic tool to relate to 3D configuration (EDA ind) IMPACT Lithography/DfM Roundtable 18

19 Liebmann: Process Variation Analysis, Decomposition, and Correlation Given sets of empirical data taken across multiple lots, wafers, chips, and field locations, collected after various process steps (e..g. resist, etch, anneal) by various means (e.g. sem, electrical) establish frequency, process, and layout correlations that would facilitate more focused process or layout optimization. The goal would be to develop an analysis and visualization software platform that combines layout information, process simulations over different length scales, and data analysis to pinpoint error sources. Detailed understanding of correlation distances may also improve incremental layout optimization (e.g. cell-level, macrolevel, chip-level). IMPACT Lithography/DfM Roundtable 19

20 Liebmann: More Rigorous Approximation to EMF Effects Need sufficiently accurate EMF calculations to incorporate into iterative optimizations. Accuracy of domain decomposition is being questioned at ultra-high NA and extreme illumination angles. Code should focus on extreme parallelization, potentially running on supercomputing systems such as CCNI. Also, need to make Tempest 7.0 available. IMPACT Lithography/DfM Roundtable 20

21 Liebmann: Extension of Process Modeling Build fast first principle modeling solutions for processes other than lithography (e.g. etch, cmp, implant, anneal). Models should be physical enough to allow accurate predictive modeling. IMPACT Lithography/DfM Roundtable 21

22 Liebmann: Simulation Assisted Metrology Build models to gain first principle understanding of metrology image collection and data extraction to improve accuracy against meaningful metrics (e.g. top-width, bottom-width, sidewall angle). IMPACT Lithography/DfM Roundtable 22

23 IMPACT Lithography/DfM Roundtable Thanks to All Speakers for preparing comments Technologists for your comments and interactions Students for doing the hard work! SanDisk for hosting and Starleigh Arce for local arrangements Changrui Yin for web site posting and reservations Reminder: IMPACT Workshop, Wed. October 29 th at the AMD Commons Building, Santa Clara IMPACT Lithography/DfM Roundtable 23

IMPACT Roundtable Lithography + DfM

IMPACT Roundtable Lithography + DfM IMPACT Roundtable Lithography + DfM Andy Neureuther Electrical Engineering & Computer Science September 24, 2008 neureuth@eecsberkeley.edu 510.642.4590 University of California Berkeley San Diego Los Angeles

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project Feature-level Compensation & Control Sensors and Control September 15, 2005 A UC Discovery Project 2 Current Milestones Integrated sensor platform development 2 (M26 YII.16) Gather CMP and etching rate

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Comprehensive Performance Analysis of Interconnect Variation by Double and Triple Patterning Lithography Processes

Comprehensive Performance Analysis of Interconnect Variation by Double and Triple Patterning Lithography Processes http://dx.doi.org/10.5573/jsts.2014.14.6.824 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 2014 Comprehensive Performance Analysis of Interconnect Variation by Double and Triple

More information

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information