Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Size: px
Start display at page:

Download "Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack"

Transcription

1 Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack

2 Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool Categories Full Physical Models (small area) Approximate Models (full chip) SEMATECH Litho Forum

3 Simulation Precision Precision also called numerical accuracy Trade-off with speed Varies depending on simulation parameters Measured by comparing with slow, small-grid simulation Precision spec same as metrology tool P/T of Since T is about ±10% of nominal CD, required precision is about ±1 2% of CD Ex: 22nm HP, precision is nm SEMATECH Litho Forum

4 Example Image CD 3.5 Error in Image CD (%) Number of Grid Points across Pupil Diameter SEMATECH Litho Forum

5 Simulation Precision Simulation precision of 1% over a wide range of simulation conditions is very challenging for simulation speeds that aren t painful Low NILS cases have worst CD precision No standard protocol for measuring simulator precision How do you do it? SEMATECH Litho Forum

6 Simulation Accuracy Two kinds of accuracy Comparison with analytical solutions (a few special cases) Comparison with experimental data Accuracy vs. Analytical Solutions Mark D. Smith and Chris A. Mack, Methods for Benchmarking Photolithography Simulators, Optical Microlithography XVI, Proc., SPIE Vol (2003) pp M. D. Smith, J. D. Byers, and C. A. Mack, Methods for Benchmarking Photolithography Simulators, Part II, Optical Microlithography XVII, Proc., SPIE Vol (2004) pp Mark D. Smith, Trey Graves, Jeffrey D. Byers, Chris A. Mack, Methods for Benchmarking Photolithography Simulators: Part III, Optical Microlithography XVII, Proc., SPIE Vol (2005) p. p Trey Graves, Mark D. Smith, Chris A. Mack, Methods for Benchmarking Photolithography Simulators: Part IV, Optical Microlithography XIX, Proc., SPIE Vol (2006) p X. SEMATECH Litho Forum

7 Simulation Accuracy Accuracy vs. Experimental Data Problem: How accurate is your experimental data? CD Metrology tools have no specs for accuracy CD SEM vs. other tools shows 5 15 nm offsets, but these offsets are a function of pattern shape (tone, sidewall angle, pitch) Top-down line-end and shape measurements are even more problematic What are the accuracy requirements for simulation? Most aggressive: same requirements as for precision (this makes simulation far more accurate than measurements!) Note: simulation accuracy includes numerical precision errors SEMATECH Litho Forum

8 Simulation Capabilities New features required for new processes Example: PROLITH History First chemically amplified resist model (v ) Phase shift masks (v ) Flex (v ) Off-axis illumination (v ) 2D masks and aerial images (v ) Full 3D simulations (v ) Etch simulator (v ) Immersion lithography (v ) SEMATECH Litho Forum

9 Simulation Capabilities New features required when 2 nd order effects become first order High NA Scalar model (v ) Vector imaging model (v ) Aberrations (v ) Variable diffusivity reaction-diffusion in 3D (v ) Step-and-scan vibrations, aberration averaging (v ) Notch development model (v ) Byers/Petersen chemically amplified model (v ) Base quencher diffusion (v ) Mask topography EMF simulator (v ) Birefringence/Jones Pupil (v ) SEMATECH Litho Forum

10 Requirements for 22nm HP (example/proposal) Precision: 1% Accuracy: 2% Full Physical Models have the added necessity for meeting this accuracy spec over a wide range of parameters without recalibration New Capabilities Needed: Wafer topography for double patterning LER models Metrology simulation Mask error model Resist variation through thickness Is etch or sidewall spacer simulation needed? New freeze/dual tone/2-photon materials? Faster! SEMATECH Litho Forum

11 Summary of Results: Pi06-001ME Calibration and Portability Testing KLA Data Focus Exposure Matrix PROLITH Model RMSE, nm Calibrate 70 nm Line, 140 nm Pitch nm Line, 250 nm Pitch nm Trench, 600 nm Pitch 1.36 Overall Model Calibration RMSE 1.0 nm Exposure Focus Exposure Matrix PROLITH Model RMSE, nm Validate 0.85NA DiP 0.85NA DiP 0.85NA DiP 0.85NA cquad 0.85NA cquad 100 nm Line, 200 nm Pitch 110 nm Line, 250 nm Pitch 150nm Line, 600 nm Pitch 100 nm Line, 200 nm Pitch 110 nm Line, 250 nm Pitch NA cquad 0.75NA Ann 150nm Line, 600 nm Pitch 100 nm Line, 200 nm Pitch NA Ann 0.75NA Ann 110 nm Line, 250 nm Pitch 150nm Line, 600 nm Pitch NA cquad 1.20NA Ann 45 nm Line, 90 nm Pitch 55 nm Line, 110 nm Pitch NA Ann 1.20NA Ann 80 nm Line, 200 nm Pitch 100nm Line, 400 nm Pitch Overall Model Validation RMSE 1.3 nm 15 PROLITH Technical Forum SCJ 2008 SEMATECH Litho Forum

12 Simulation Vs Data - 60nm DHP 1.2NA, 0.80/0.50 Ann, X/Y Pol. 6% AttPSM FOUP AR070012, SLOT 13, Column 0, Row 0 30 mj/sqcm, 0.0µm Focus, Layer Q1 1 st Litho (pi06) Measured CD: 46.2nm Simulated CD: 44.7nm 17 5/14/2008 Robertson, Biafore, Graves & Smith, Rigorous Physical Modeling of a Materials-Based Frequency Doubling Lithography Process, SPIE 2008 SEMATECH Litho Forum

13 Simulation Vs Data 36nm DHP, Calibrated Pi6 FPM 1.2NA, 0.80/0.50 Ann, X/Y Pol. BIM First Pass Measured CD: 37.5nm Simulated CD: 37.7nm 1 st Litho after 1 st Dev 1 st Litho after 2 nd Dev 18 5/14/2008 Robertson, Biafore, Graves & Smith, Rigorous Physical Modeling of a Materials-Based Frequency Doubling Lithography Process, SPIE 2008 SEMATECH Litho Forum

14 Conclusions Simulation precision and accuracy scale with feature size (0.7X per node), while speed must stay constant or improve This improvement doesn t come for free Many new capabilities are needed Several features require fundamental research Who s working on these? SEMATECH Litho Forum

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam J. Belledent 1, M. Smayling 2, J. Pradelles 1, P. Pimenta-Barros 1, S. Barnola 1, L. Mage 1, B. Icard 1, C. Lapeyre 1, S. Soulan 1,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

New methodology for through silicon via array macroinspection

New methodology for through silicon via array macroinspection New methodology for through silicon via array macroinspection Yoshihiko Fujimori Takashi Tsuto Yuji Kudo Takeshi Inoue Kyoichi Suwa Kazuya Okamoto J. Micro/Nanolith. MEMS MOEMS 12(1), 013013 (Jan Mar 2013)

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography John S. Petersen 1, James Beach 2, David J. Gerold 1, Mark J. Maslow 1 1. Petersen Advanced Lithography,

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Large Area Interposer Lithography

Large Area Interposer Lithography Large Area Interposer Lithography Warren Flack, Robert Hsieh, Gareth Kenyon, Manish Ranjan Ultratech, Inc 3050 Zanker Road, San Jose. CA. 95124 wflack@ultratech.com +1 408-577-3443 John Slabbekoorn, Andy

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology 15-398 Introduction to Nanotechnology Nanoscale Lithography Seth Copen Goldstein Seth@cs.cmu.Edu CMU Pushing The Limits of Photolithography Reduce wavelength (λ) Use Reducing Lens Increase Numerical Aperture

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information