LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Size: px
Start display at page:

Download "LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS"

Transcription

1 LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run LA Veldhoven The Netherlands Bernd Geh Carl Zeiss, HL-MT D Oberkochen Germany This paper was first presented at the SPIE Microlithography Seminar March 1996, California, USA

2 LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run LA Veldhoven The Netherlands Bernd Geh Carl Zeiss, HL-MT D Oberkochen Germany ABSTRACT This paper shows and discusses the use of direct aerial image measurements and optical interferometry for the evaluation of advanced i-line lenses. These measurement techniques provide direct information on the image forming capabilities of a stepper lens such that assessments of field curvature, astigmatism and image asymmetry can readily be accomplished. The interaction with the photoresist is shown by directly using the measured aerial image and aberration data into photoresist modeling programs such as Prolith/2 and Solid-C. The link between the optical measurements and the photoresist processes is further established by a comparison of simulated and measured results. 1. INTRODUCTION Current optical testing for state-of-the-art lithographic systems requires a careful assessment of the overall image quality using a variety of measuring techniques. Stepper manufacturers are faced with a dual set of objectives for image testing. The first is to ensure that complete stepper systems produce acceptable photoresist patterns for the end-user, usually the "chip manufacturer". A necessary requirement to achieve this results in the second objective, that is to ensure the optical performance of the lens is sufficient to guarantee the first objective. The performance of a lens is judged by its ability to accurately place an image volume such that the developed photoresist image maintains its integrity through a wide range of process conditions. The placement of this volume is given as a focus displacement in z and a geometrical distortion displacement in x and y. Measurements of these parameters across a stepper image field can be influenced by system parameters other than the lens. For example, it has been shown in a previous paper [1] that bulk development effects can systematically shift the focal position dependent on the exposure and photoresist thickness. This interaction of process and optical performance results in a loss of confidence in our ability to properly analyze "cause and effect" relationships in a lithographic system. Hence, one would like to independently evaluate the lens, as well as characterizing its imaging performance from the photoresist. This work describes four methods of measuring the optical performance of a lithographic lens and examines the interaction and relationships between them. The first two techniques, through-the-lens interferometry (TTLI) and direct aerial image measurement (DAIM), are independent of photoresist processing. However, we show how the measured parameters can be transformed by photoresist processing using simulations. The third method is the use of thin photoresist and the optical alignment system of an ASM Lithography stepper to determine the focal surface (FOCAL). This technique tends to be insensitive to the influences of photoresist development interactions, and the results are shown to agree with the measurements from TTLI and DAIM. The fourth technique uses the standard scanning electron microscope (SEM) analysis of photoresist. Although, this will be highly interactive with the process, careful analysis can reveal substantial information about the best focal surface and the overall image integrity. TTLI and DAIM are independent systems that are used to quickly and thoroughly evaluate the optical performance of the lens such that iterative adjustments can be made during lens manufacture. FOCAL and SEM are used to verify and correlate this data within the stepper to guarantee overall system performance. We concentrate much of our full-field comparisons on measurements of astigmatism, defined by the difference between horizontal and vertical structures. This is the one primary common metric that is well-behaved in all four measurement techniques. Since astigmatism is a difference, any systematic focus offsets within the measurements due to general system and environmental 1

3 variables are nulled out. However, we also show correlation using best focal surface where applicable. The measurements collected for this work used two Zeiss i-line test lenses, defined as test lens "A" and "B". Test lens "A" was used for most of the data given in the surface plots. The stepper used was a PAS 5500/100 system. Photoresist simulations and experiments were based on µm thick Sumitomo PFI-38a photoresist on silicon except for the FOCAL measurements which used 0.2 µm OCG-895i photoresist on silicon. 2. THROUGH-THE-LENS INTERFEROMETRIC ANALYSIS - TTLI Interferometry has been used for many years for testing lenses. The basic premise of the interferometer is that it measures the deviation of a wavefront from a reference surface. This deviation represents the departure of a real lens from "perfect performance" and results in a description of the wavefront deviation as aberration expansions. The result is a measure of the phase front in the pupil of the imaging lens in terms of aberration coefficients. The reader is referred to the references for details of aberration basics [2] [3]. The interferometer used in this work is based on the expertise that Zeiss has in the optics industry. The interferograms are sampled across the entire pupil plane on a 64 x 64 pixel array for each image field point of interest. The reproducibility (defined with 99.7% confidence) of the aberration coefficients has been found to be around 3 nm. The overall accuracy can be determined using standard procedures [4] separating the systematic errors from the actual wavefront deviations. Here, we estimated that the systematic errors of the aberration coefficients are within 2 nm. Figure1 shows an example of an interferogram as seen at the interferometer. It is usual practice to fit a set of Zernike polynomials to this wavefront for further analysis. Although the aberrations are probably the fundamental measure of the lens characteristics, direct interpretation for lens quality is not trivial for partially coherent systems such as a stepper. Interferometry measures the performance of the lens within the pupil plane of the imaging lens, while lithographic performance is measured at the image plane. This is the fundamental difference between this measurement technique and the subsequent techniques that will follow. The equation below [5] shows, using a linear systems approach, the Figure 1 Example of a wavefront as measured with an i-line TTLI. The P-V is 36nm with an RMS of 6nm. 2

4 essential relationship between the image within a film such as photoresist and the wavefront aberrations: I( x, y, z) = dα 0 dβ 0 J( α 0, β 0 ) E( x, y, z; α 0, β 0 ) 2, (1) s where the electric field (E) within the film is given by an inverse Fourier transform relationship, that is: E( x, y, z, ; α 0, β 0 ) Ft -1 = Õ( α α 0, β β 0 )P( α,β) F( α,β; z)e ik 0 z 0 γ e ik 0 W ( α, β). in microlithography since the effects of coherence, line structure and orientation are ignored. Various modifications can be made to this figure of merit. Goodman and Gortych [6] defined a line ratio. The line ratio is defined similarly to the Strehl ratio except that a line object replaces the point object. This allows for the incorporation of partial coherence in the calculation. Figure3 shows the calculation of a line ratio using the same lens data, but for horizontal and vertical line structures at an illumination-to-pupil filling of σ = 0.4. The difference between them is a general estimate of the Here, J( α 0,β 0 ) is the effective source distribution in the lens pupil with area S, Õ( α α 0, β β 0 ) is the shifted Fourier Transform of the object, P( α,β) is the lens pupil transmission function, F( α,β; z) is the thin film contribution within the photoresist depth z, e ik 0 z 0 γ is the focus phase term describing the shift of the wafer surface at z 0, e ik 0 W ( α, β) is the aberration phase term describing the deviation from a reference sphere. The overall behavior in the image plane can be found by using the Zernike polynomials to reconstruct the function W(α,β). Then, equation (1) is numerically solved and the results examined either as an aerial image, an image within a photoresist film, or a developed image. Since simulations based on a set of full-field aberration data usually need a substantial amount of CPU time, a classical alternate approach, the Strehl ratio, can be used for image quality assessment. The Strehl ratio is defined by the ratio of the peak image irradiance with aberration to that without aberration, assuming a point object. If the aberrations are small enough, the aberration phase term can be replaced by the first two terms of a Taylor expansion, then the Strehl is well approximated by: Strehl Ratio S2 2, 2 2π where S = -----RMS, λ and the RMS of the wavefront can be calculated directly by a weighted sum of the Zernike coefficients. An example of such a calculation is shown in Figure2 with the Strehl ratio calculated using 121 measured locations across the image field of test lens A with NA = 0.6. Unfortunately, this type of calculation is of marginal value I EPS Figure 2 Strehl ratio of i-line lens as a function of field position. performance difference between horizontal and vertical structures. Although this calculation is quite rapid, it does not enable us to interpret the interferometer data in terms of image performance using figures of merit such as lithographic astigmatism (defined as the difference in best focus between horizontal and vertical lines), focal surface, and image asymmetry. Such a calculation requires the use of one of the numerous simulation programs that are currently available within the lithographic community. An example is shown in Figure 4, where simulations are given of aerial images through focus for test lens "B" with NA = 0.6 and σ = 0.4 using Solid-C. The object is a 0.4 µm isolated space. The aberration data is taken from 2 field sites with relatively low amounts of aberration (wavefront RMS λ/30) and high amounts of aberration (wavefront RMS λ/19). The high aberration aerial image shows asymmetry about the 3

5 I EPS Figure 3 (a) Line ratio for i-line lens with NA = 0.6 and σ = 0.4 using conventional illumination: (a) average of horizontal and vertical lines, (b) difference of horizontal and vertical lines. (b) for a 121 field points using the Solid-C simulator. The advantage of such a simulation is that we have maximum flexibility to understand the impact of the aberrations on the imaging performance with various objects and illumination schemes. The disadvantage is the calculation time. For example, the above calculation took approximately 24 hours on a SUN Spark 5. An alternate method for analyzing field-dependent aberration data is the use of an imaging model given by 3-beam interference. It was shown in a previous paper [1] that, if a change in best focus is only due to radially symmetric aberrations (also known as even aberrations), then for coherent illumination, the change in focus from the Gaussian focus is given as: Figure 4 Aerial image simulations using Solid-C and measured wavefront aberration data for NA = 0.6 and σ = 0.4. Data from 2 field points are shown that exhibit different levels of aberration: a high aberration case with wavefront RMS = λ/19 and a relatively low aberration case with RMS = λ/30. z = W γ λ 2 where γ = lw 2 (2) z and x axis. This is an indication of relatively large amounts of coma and spherical aberration, respectively. If the best focus in the aerial image is defined as the z-plane where the maximum image irradiance occurs, simulations using full-field aberration data can be done. The result of such a simulation is shown in Figure 5a, where astigmatism is plotted as a function of field position and the linewidth of a 50% duty cycle structure is given by lw. W is the difference between the wavefront of the even aberrations for the 0th and 1st orders (in nm). Figure 5b uses equation (3) to produce an astigmatism map across the field using the interferometric data. This type of analysis is designed to quickly evaluate the interferometric data, that is, the CPU time is in the order 4

6 I EPS Figure 5 (a) (b) Lithographic astigmatism (linewidth difference between horizontal and vertical lines) map across stepper imaging field as calculated from rigorous aerial image simulation using Solid-C and measured wavefront aberration: The object is a 0.4 µm isolated space data with NA = 0.6 and σ = 0.4. of seconds for an entire field. However, since this model is only valid for periodic objects with coherent imaging, the applicability to a general variety of structures and illumination distributions is limited. In spite of this, we note that Figures 5a and 5b show similar astigmatism signatures. Previously, in equation (3), it was assumed that only even aberrations effect the focus. Using the simulation program of Solid-C, we test this assumption by simulating a matrix of aerial images through focus, varying 3rd order Zernike coma and 3rd order Zernike spherical aberration. The measurable is the calculation of "best focus" by maximum irradiance. Figure 6 shows the results plotted as a surface. The focus shifts linearly with varying amounts of spherical aberration for low levels of coma (as expected), but as the coma values and spherical values become large there is an interaction. In well corrected lithographic lenses these aberration levels are not reached, and therefore, our use of even aberrations to describe focus shifts is justified for aerial images. The interaction of the wavefront aberrations with photoresist processing can be understood by continuing the simulations through photoresist exposure and development. Figure 7 shows an example of this with exposure-defocus (E-D) plots for 0.4 µm isolated space. The aberration data is identical to that used for the aerial image simulations shown in Figure 4. The iso-linewidth I EPS Figure 6 Shift in focus due to various amounts of 3rd order Zernike coma and spherical aberration. contours assume a ±10% tolerance. The largest process latitude box that will fit in the E-D contours is included for each figure. Although the focus is shifted by 0.23 µm between the aberration cases, the box for the high aberration case is only marginally smaller. The depth of focus (DOF) for both cases is approximately 1.0 µm, while the exposure latitude for the high aberration case is 5

7 Low Aberration Relative Exposure High Aberration I FRM Defocus (µm) Figure 7 Photoresist simulations using Solid-C showing exposure-defocus (E-D) iso-linewidth contours for 0.4 µm isolated space with ±10% linewidth tolerance. Aberration data is identical to that used in Figure 4. Boxes contain maximum process latitude area. 18% as compared to the low aberration case of 21%. This is an indication that photoresist imaging might have an apparent threshold to various levels of aberrations when linewidth is the critical parameter (that is, detrimental imaging occurs only above a certain aberration level). However, other measured parameters, such as photoresist profile asymmetry, slope and thickness can have a greater sensitivity to the aberrations. 3. DIRECT AERIAL IMAGE MEASUREMENT - DAIM The use of direct aerial image measurement in lithography has been shown [7] to be quite useful as a predictor of lithographic performance. The exclusion of photoresist in the measurement has the advantage of directly isolating the optical imaging from the photoresist process. Our system of measurement provides a wealth of information for varied structure sizes and orientations through focus. Figure 8 shows a schematic of our test set-up. The aerial images of isolated spaces and dense line patterns are magnified and measured by a CCD array at multiple z positions in the neighborhood of the Gaussian focus. The result of a measurement is two dimensional irradiance distributions, perpendicular to line structures. An example is shown in Figure 9 with 0.4 µm isolated spaces. The measured field points were chosen to be identical to that used with Figure 4 for comparison. We see in both figures similar image asymmetry for the high aberration case and symmetrical images for the low aberration case. Also, it is noted that the DAIM images appear slightly smoothed. This is a direct result of the measured aerial image being a convolution of the aerial image from the imaging lens and the point spread function of the high NA magnification lens, together with the finite pixel extension of the camera. However, since the overall aberrations of the lenses are small the effects of convolution result in a constant broadening of the aerial image across the image field. This allows for accurate full-field measurements of best focus, as defined by the maximum irradiance. Figures 10a and b show the result of measurements of the image field as plots of the average best focus and astigmatism The object is a 0.4 µm space using NA = 0.6 and σ = 0.4 with test lens "A". 6

8 Imaging Rays Object (Reticle) Plane Lens under test Pupil Plane Figure 8 T Primary Aerial Image Secondary Aerial Image I FRM Image (Wafer) Plane Magnifying Lens CCD-Camera Schematic of direct aerial image measurement system (DAIM). Figure 9 Example of measured aerial images for two field points. Measured field sites and parameters are the same as that used for Figure 4. The error of the a measurement of best focus is generally well within ±50nm. The astigmatism signature is very similar to that simulated with the TTLI in Figures 5a and 5b. This gives us confidence that the TTLI and DIAM produce consistant results. In general, the center of mass of the intensity distribution will stay on a straight line through focus. I EPS (a) (b) Figure 10 DAIM measurements: a) best focus in the image field, averaged over horizontal and vertical lines b) lithographic astigmatism across the image field. 7

9 I EPS Figure 11 Full-field plot of the relative aerial image bending through focus across the image field. However, in the presence of odd aberrations (like coma), the lines of equal irradiance are bent above and below the position of best focus. This bending can be quantified by fitting a parabola to the measured data at certain irradiance thresholds. The fitted 2nd order coefficients are directly proportional to the amount of image bending. An example of this is shown in Fig 11, which shows a fullfield plot for test lens "B". The relationship of this bending term must be subsequently calibrated to the end-users process requirements for image asymmetry and is the subject of current work. Comparisons with TTLI simulations show that a level of relative image bending corresponds to a 3rd order Zernike coma coefficient of λ/35. The measured aerial image from DAIM can be used as input to photoresist development simulation. Although absolute CD measurements cannot be performed without deconvolution, approximate estimates and trends of best focus, exposure latitude and DOF can be made using photoresist simulations. Using the aerial images in Figure 9 as input into Prolith/2, Fig 12 shows simulated E-D isolinewidth contours through focus. The largest process latitude box is included in the figure. The DOF for both cases is approximately 0.9 µm and the exposure latitude is 12% for the high aberration and 15% for the low aberration. The decrease with exposure latitude with constant DOF is consistent with the TTLI simulations shown in Figure 7. Also, the focus difference of 0.18 µm between the two cases compares favorably with a 0.23 µm difference with the TTLI simulation when the measurement error is taken into account. The apparent difference in absolute values of DOF and exposure latitude are the direct result of convolution smoothing of Low Aberration Relative Exposure High Aberration I FRM Defocus ( µm) Figure 12 E-D iso-linewidth contours simulated by using measured aerial images from Figure 9 into Prolith/2. Boxes contain maximum process latitude. 8

10 the DAIM data. This causes an error the between the real aerial image and measured aerial image 4. FOCAL SURFACE MEASUREMENT USING THE ALIGNMENT SYSTEM - FOCAL Currently there are a few techniques to do rapid measurement of the focal surface across a stepper image field. The Brunner phase shift mask [8] provides the user with best focus and astigmatism data mainly for isolated lines using developed photoresist structures measured on an off-line detection system. The measurements work best for structure sizes that are at the limit of image modulation. For example with i-line steppers, 0.2 µm-0.3 µm lines exposed with a small σ and high imaging NA gives the highest signal-to-noise. Electrical CD testing provides an alternative, but this requires additional etch processes. The FOCAL technique is similar to the Brunner phase mask in that it gives full-field focus and astigmatism data; however, the reticle is a standard binary chrome mask. This technique is based on the previously reported work of Dirksen [9] where a modified alignment mark is used to translate a focus shift into a horizontal displacement using an ASM Lithography stepper with latent photoresist imaging. The difference here is that we are using thin exposed and developed photoresist (~0.2 µm). The modified alignment mark uses 0.4 µm dense lines with a 50% duty cycle. The resultant position displacement behavior through focus is parabolic; hence, best focus is defined as the extremum of the curve. Exposing a complete field of these marks allows for field mapping of the best focus for horizontal and vertical structures. Figure 13a shows this as the average best focus. Figure 13b gives the difference of horizontal and vertical best focus (lithographic astigmatism). The use of thin photoresist minimizes the effects of bulk development with high NA images. Since focus calculated with FOCAL represents the maximum coupled power into the photoresist, it is closely related to the best focus as defined with DAIM. This is confirmed by the close similarity of Figure 13 to Figure10. The astigmatism signature of FOCAL is also favorable compared to that of TTLI shown in Figure5. 5. OPTICAL PARAMETERS BY SCANNING ELECTRON MICROSCOPE - SEM Unlike aerial images, which give continuous information on the shape of the image, photoresist must use the the variable of exposure to record discrete sections of the image. The information from exposed and developed photoresist is contained in the linewidth and sidewall profile data that is measured by SEM. A frequently used analytical technique is to create plots of linewidth as a function of focus and exposure, that is, a focus-exposure matrix (FEM). The determination of optical parameters from this data, such as focal surface I EPS (a) Figure 13 Focal measurements: a) best focus in the image field, averaged over horizontal and vertical lines b) lithographic astigmatism across the image field. (b) 9

11 and astigmatism, is made by a calculation of best focus for several field positions. Since the FEM will include process interactions (that is, bulk development effects), the definition of best focus becomes critical. For example, we can calculate best focus by looking for some extremum, as we do with the aerial image. Alternatively, we can look at centering the overall process window. The best focus from either method will depend on the specifications. Figure 14 gives an experimental example of iso-linewidth contours for a 0.35 µm process with ±10% linewidth specification. The % Exposure Latitude % Exposure Latitude Linear Exposure (mj/cm 2 ) Astigmatism = 13nm Astigmatism = 100nm Defocus ( µ m) I FRM Defocus ( µ m) Figure 14 E-D iso-linewidth contours for 0.35 µm horizontal (solid) and vertical (dashed) dense lines using NA = 0.56 and σ = 0.7. The process latitude boxes are based on 2% and 15 exposure latitudes. AST H-V [µm] SEM #A SEM #B Focal I FRM Field Point Figure 15 Comparison of astigmatism measured with SEM and FOCAL. SEM #A uses a calculation of best focus based on the process center while SEM #B uses a parabolic fit of linewidth through focus to derive best focus. 10

12 process latitude boxes are calculated for an exposure latitude of 2% and 15% for horizontal and vertical structures. The apparent change in the astigmatism using identical data sets is due to the change in definition. This is a frequent problem with determining optical parameters from photoresist data. The definition of best focus must be closely aligned to the subsequent use of the information. For example, best focus for a chip process may be defined as the center of the process. However, to determine optical characteristics, an extremum is probably more appropriate since the measured data is usually based on some maximum or minimum. Often it can be extremely time consuming and unnecessary to create FEMs for every field-point and orientation. If we use only one exposure (a "nominal") and plot linewidth as a function of focus, best focus can be defined as the center of the linewidths within specification. Figure 15 shows the comparison of best focus for nine field points calculated by using a center specification and extremum definition with SEM data. This is shown with FOCAL results. The small disagreements can be due to the definitions of best focus. In general for focus values close to an optical image, the use of the extremum definition is preferred. 6. DISCUSSION AND CONCLUSION Lithographic systems consist of photoresist components and optical components which can not be fully decoupled with standard photoresist measurement techniques. However, the optical characteristics of the imaging system can be substantially examined by TTLI and DAIM analysis which do not depend on photoresist processing, and therefore directly decouple the process from the optics. 7. ACKNOWLEDGMENTS We gratefully acknowledge the work of the following individuals: G. Richter and M. Krämer at Zeiss, J. de Klerk and G. Davies at ASML, and S. Verbrugh at Philips. 8. REFERENCES [1] D. G. Flagello and R. Rogoff, The influence of photoresist on the optical performance of high NA steppers, Proc. SPIE 2440, [2] M. Born and E. Wolf, Principles of Optics (Pergamon Press, Oxford, UK, 1980). [3] W. Welford, Aberrations of Optical Systems (Adam Higer, Bristol, UK, 1986), [4] D. Malacara, Optical Shop Testing (Wiley, New York, 1978). [5] D. G. Flagello et. al., Theory of high-na imaging in homogeneous thin films, JOSA A 13, 53-64(1996) [6] Goodman and Gortych, Height and positions of maxima of partially coherent images of clear lines, Applied Optics 33, (1994). [7] C. H. Fields et. al., Aerial image measurements on a Commercial Stepper, SPIE 2197, p.585. [8] T.Brunner et. el., Quantitative Stepper Metrology Using the Focus Monitor Test Mask, SPIE 2197, p.547, [9] P. Dirksen,. Latent image metrology for production wafer steppers, Proc. SPIE 2440, p We have presented some methods of data analysis that contribute to a better understanding of field dependent optical imaging performance. The measurements using TTLI, DIAM and FOCAL all extensively sample the image field to provide rapid quantitative assessment of the focal surface, astigmatism, image symmetry and quality.the use of simulation allows this data to be predictive estimates of lithographic performance such as DOF. We have also shown that fullfield measurement techniques using thin photoresist such as FOCAL can successfully represent the optical performance without major impact from photoresist process effects. The use of the traditional SEM measurement has limited ability in determining exact optical performance, but is a better indicator of overall system capability. 11

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Depth of Focus, part 2

Depth of Focus, part 2 T h e L i t h o g r a p h y T u t o r (Autumn 995) Depth of ocus, part Chris A. Mack, INL Technologies, Austin, Texas In the last column we began our search for a suitable definition for depth of focus

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Abstract: Speckle interferometry (SI) has become a complete technique over the past couple of years and is widely used in many branches of

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Introductions to aberrations OPTI 517

Introductions to aberrations OPTI 517 Introductions to aberrations OPTI 517 Lecture 11 Spherical aberration Meridional and sagittal ray fans Spherical aberration 0.25 wave f/10; f=100 mm; wave=0.0005 mm Spherical aberration 0.5 wave f/10;

More information

Computer Generated Holograms for Testing Optical Elements

Computer Generated Holograms for Testing Optical Elements Reprinted from APPLIED OPTICS, Vol. 10, page 619. March 1971 Copyright 1971 by the Optical Society of America and reprinted by permission of the copyright owner Computer Generated Holograms for Testing

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry OPTICA ACTA, 1985, VOL. 32, NO. 12, 1455-1464 Contouring aspheric surfaces using two-wavelength phase-shifting interferometry KATHERINE CREATH, YEOU-YEN CHENG and JAMES C. WYANT University of Arizona,

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces James T. McCann OFC - Diamond Turning Division 69T Island Street, Keene New Hampshire

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

GEOMETRICAL OPTICS AND OPTICAL DESIGN

GEOMETRICAL OPTICS AND OPTICAL DESIGN GEOMETRICAL OPTICS AND OPTICAL DESIGN Pantazis Mouroulis Associate Professor Center for Imaging Science Rochester Institute of Technology John Macdonald Senior Lecturer Physics Department University of

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Advanced Lens Design

Advanced Lens Design Advanced Lens Design Lecture 3: Aberrations I 214-11-4 Herbert Gross Winter term 214 www.iap.uni-jena.de 2 Preliminary Schedule 1 21.1. Basics Paraxial optics, imaging, Zemax handling 2 28.1. Optical systems

More information

Exam Preparation Guide Geometrical optics (TN3313)

Exam Preparation Guide Geometrical optics (TN3313) Exam Preparation Guide Geometrical optics (TN3313) Lectures: September - December 2001 Version of 21.12.2001 When preparing for the exam, check on Blackboard for a possible newer version of this guide.

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 207-04-20 Herbert Gross Summer term 207 www.iap.uni-jena.de 2 Preliminary Schedule - Lens Design I 207 06.04. Basics 2 3.04. Properties of optical

More information

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING 14 USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING Katherine Creath College of Optical Sciences University of Arizona Tucson, Arizona Optineering Tucson, Arizona James C. Wyant College of Optical

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 205-04-8 Herbert Gross Summer term 206 www.iap.uni-jena.de 2 Preliminary Schedule 04.04. Basics 2.04. Properties of optical systrems I 3 8.04.

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

APPLICATION NOTE

APPLICATION NOTE THE PHYSICS BEHIND TAG OPTICS TECHNOLOGY AND THE MECHANISM OF ACTION OF APPLICATION NOTE 12-001 USING SOUND TO SHAPE LIGHT Page 1 of 6 Tutorial on How the TAG Lens Works This brief tutorial explains the

More information

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes H. M. Martin, R. G. Allen, J. H. Burge, L. R. Dettmann, D. A. Ketelsen, W. C. Kittrell, S. M. Miller and S. C. West Steward Observatory,

More information

OPTICAL IMAGING AND ABERRATIONS

OPTICAL IMAGING AND ABERRATIONS OPTICAL IMAGING AND ABERRATIONS PARTI RAY GEOMETRICAL OPTICS VIRENDRA N. MAHAJAN THE AEROSPACE CORPORATION AND THE UNIVERSITY OF SOUTHERN CALIFORNIA SPIE O P T I C A L E N G I N E E R I N G P R E S S A

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation J. C. Wyant Fall, 2012 Optics 513 - Optical Testing and Testing Instrumentation Introduction 1. Measurement of Paraxial Properties of Optical Systems 1.1 Thin Lenses 1.1.1 Measurements Based on Image Equation

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Chapter 18 Optical Elements

Chapter 18 Optical Elements Chapter 18 Optical Elements GOALS When you have mastered the content of this chapter, you will be able to achieve the following goals: Definitions Define each of the following terms and use it in an operational

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

GENERALISED PHASE DIVERSITY WAVEFRONT SENSING 1 ABSTRACT 1. INTRODUCTION

GENERALISED PHASE DIVERSITY WAVEFRONT SENSING 1 ABSTRACT 1. INTRODUCTION GENERALISED PHASE DIVERSITY WAVEFRONT SENSING 1 Heather I. Campbell Sijiong Zhang Aurelie Brun 2 Alan H. Greenaway Heriot-Watt University, School of Engineering and Physical Sciences, Edinburgh EH14 4AS

More information

Collimation Tester Instructions

Collimation Tester Instructions Description Use shear-plate collimation testers to examine and adjust the collimation of laser light, or to measure the wavefront curvature and divergence/convergence magnitude of large-radius optical

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Use of Computer Generated Holograms for Testing Aspheric Optics

Use of Computer Generated Holograms for Testing Aspheric Optics Use of Computer Generated Holograms for Testing Aspheric Optics James H. Burge and James C. Wyant Optical Sciences Center, University of Arizona, Tucson, AZ 85721 http://www.optics.arizona.edu/jcwyant,

More information

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable. 1 1.1 Singlet Optimize a single lens with the data λ = 546.07 nm, object in the distance 100 mm from the lens on axis only, focal length f = 45 mm and numerical aperture NA = 0.07 in the object space.

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Telecentric Imaging Object space telecentricity stop source: edmund optics The 5 classical Seidel Aberrations First order aberrations Spherical Aberration (~r 4 ) Origin: different focal lengths for different

More information

Exercise 1 - Lens bending

Exercise 1 - Lens bending Exercise 1 - Lens bending Most of the aberrations change with the bending of a lens. This is demonstrated in this exercise. a) Establish a lens with focal length f = 100 mm made of BK7 with thickness 5

More information

Performance Factors. Technical Assistance. Fundamental Optics

Performance Factors.   Technical Assistance. Fundamental Optics Performance Factors After paraxial formulas have been used to select values for component focal length(s) and diameter(s), the final step is to select actual lenses. As in any engineering problem, this

More information

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

IMAGE SENSOR SOLUTIONS. KAC-96-1/5 Lens Kit. KODAK KAC-96-1/5 Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2 KODAK for use with the KODAK CMOS Image Sensors November 2004 Revision 2 1.1 Introduction Choosing the right lens is a critical aspect of designing an imaging system. Typically the trade off between image

More information

Ron Liu OPTI521-Introductory Optomechanical Engineering December 7, 2009

Ron Liu OPTI521-Introductory Optomechanical Engineering December 7, 2009 Synopsis of METHOD AND APPARATUS FOR IMPROVING VISION AND THE RESOLUTION OF RETINAL IMAGES by David R. Williams and Junzhong Liang from the US Patent Number: 5,777,719 issued in July 7, 1998 Ron Liu OPTI521-Introductory

More information

Camera Resolution and Distortion: Advanced Edge Fitting

Camera Resolution and Distortion: Advanced Edge Fitting 28, Society for Imaging Science and Technology Camera Resolution and Distortion: Advanced Edge Fitting Peter D. Burns; Burns Digital Imaging and Don Williams; Image Science Associates Abstract A frequently

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment CHARA Telescope Alignment By Laszlo Sturmann Mersenne (Cassegrain type) Telescope M2 140 mm R= 625 mm k = -1 M1/M2 provides an afocal optical system 1 m input beam and 0.125 m collimated output beam Aplanatic

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Big League Cryogenics and Vacuum The LHC at CERN

Big League Cryogenics and Vacuum The LHC at CERN Big League Cryogenics and Vacuum The LHC at CERN A typical astronomical instrument must maintain about one cubic meter at a pressure of

More information

Optics of Wavefront. Austin Roorda, Ph.D. University of Houston College of Optometry

Optics of Wavefront. Austin Roorda, Ph.D. University of Houston College of Optometry Optics of Wavefront Austin Roorda, Ph.D. University of Houston College of Optometry Geometrical Optics Relationships between pupil size, refractive error and blur Optics of the eye: Depth of Focus 2 mm

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Computer Aided Design Several CAD tools use Ray Tracing (see

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

This experiment is under development and thus we appreciate any and all comments as we design an interesting and achievable set of goals.

This experiment is under development and thus we appreciate any and all comments as we design an interesting and achievable set of goals. Experiment 7 Geometrical Optics You will be introduced to ray optics and image formation in this experiment. We will use the optical rail, lenses, and the camera body to quantify image formation and magnification;

More information

ECEG105/ECEU646 Optics for Engineers Course Notes Part 4: Apertures, Aberrations Prof. Charles A. DiMarzio Northeastern University Fall 2008

ECEG105/ECEU646 Optics for Engineers Course Notes Part 4: Apertures, Aberrations Prof. Charles A. DiMarzio Northeastern University Fall 2008 ECEG105/ECEU646 Optics for Engineers Course Notes Part 4: Apertures, Aberrations Prof. Charles A. DiMarzio Northeastern University Fall 2008 July 2003+ Chuck DiMarzio, Northeastern University 11270-04-1

More information

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS Equipment and accessories: an optical bench with a scale, an incandescent lamp, matte, a set of

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Finite conjugate spherical aberration compensation in high numerical-aperture optical disc readout

Finite conjugate spherical aberration compensation in high numerical-aperture optical disc readout Finite conjugate spherical aberration compensation in high numerical-aperture optical disc readout Sjoerd Stallinga Spherical aberration arising from deviations of the thickness of an optical disc substrate

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information