Experimental assessment of pattern and probe-based aberration monitors

Size: px
Start display at page:

Download "Experimental assessment of pattern and probe-based aberration monitors"

Transcription

1 SPIE Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, Berkeley, CA USA ABSTRACT First experimental evidence of the high sensitivity of interferometric-probe based aberration targets on phaseshifting masks is presented. Measurements were made on an AIMS tool modified for NA =.2 with 5 µm imaging and 3 µm illumination pinholes to match an inadvertent 4 oversizing of the layout dimensions. Calibration of the actual NA (=.8) was accomplished through known phase-edge distances and comparison of images of isolated probes and large features with aerial image simulation. Even though only two-ring versions of the targets were measured the peak of the 9º central probe in the defocus target increased linearly with focus at a rate of 47% of the clear field per Rayleigh unit (RU) of defocus when measured over a ±½ RU interval about best focus. The focal position can be measured to within /4 RU and the prediction of best focus on an absolute basis agrees with that determined by the Strehl ratio to within /35 of a Rayleigh focal length. The two-ring spherical and higher-order spherical targets showed decent orthogonality to focus with changes in their central peak intensities of only.47 and.37 of that of the defocus target even when viewed at an NA % smaller than their design. Keywords: aberration, image, phase-shifting mask, interference, aberration monitor, Zernike aberrations, printable artifact, defect and feature interaction, defect-probe, partial coherence, intensity imbalance, illumination.. INTRODUCTION Lithography at low k and high NA is increasingly impacted by imaging problems due to aberrations. Previous work has shown that residual aberrations can significantly affect feature interactions on product wafers []. It is thus important to measure Zernike coefficients of an exposure tool on the production floor, with in-situ aberration measurement techniques. The measurement techniques must be accurate to better than. λ rms. The measurements must also be easy to conduct and interpret. A number of techniques for tool characterization are available today, including the Litel image shift mask [2], the Hitachi sidelobe halftone mask [3, 4, 5], the Aberration Ring Test [6, 7], and a double exposure method [8]. The authors have introduced our own pattern and probe-based aberration monitors that detect the interference of the spillover from a surrounding pattern onto a defect-like central probe with known phase [9]. Characterization through both theory and simulation by the authors predicts exceptionally high sensitivity and good orthogonality among Zernike aberrations [9,, ]. In particular a linear probe intensity increase of 25-35% of the clear field per. λ rms is predicted for each and every Zernike aberration. Early, printed images of several odd-aberration targets provided by Ebo Croffie and Neal Callan of LSI Logic showed that the targets printed as expected and revealed variations across the projection printed field []. This article provides the first systematic experimental characterization of the imaging performance of pattern and probe-based aberration targets. We begin with a brief background on the design and fabrication of the targets. Initial printing experiments are discussed in Section 3, which led to the realization that the target patterns on the mask were each oversized by a magnification factor. Direct microscopic image inspection was considered as a quick alternative and Section 4 describes how an AIMS system to capture aerial images from the aberration monitors through focus was retooled by Bruce Griffing of DuPont Photomask, Inc. for our use. The calibration of the modified AIMS system is then considered in Section 5 including the determination of the effective NA, σ, defocus scale, and best focus. The general * garth@eecs.berkeley.edu; phone (5) ; fax (5)

2 characteristics of CCD images of nine different Zernike term targets are given in Section 6. A quantitative analysis of the defocus target through focus is given in Section 7, which allows the experimental sensitivity to be assessed. The orthogonality of both rotationally-symmetric and non-rotationally-symmetric targets, other than the defocus target, to the defocus aberration is the subject of Section 8 and a summary then follows. 2. BACKGROUND The pattern and probe-based aberration monitors experimentally investigated in this article are shown in Figure. They consist of a nominally sub-printable circular probe of diameter ~.45 λ/na, surrounded by a series of concentric "rings", which alternate between º and 8º phase for pure phase targets []. The exact radial and angular dependencies of the rings depends on the specific Zernike aberration term in the lens that the target is designed to measure. The probe, which acts as an interferometric reference, is phased at 9º for even aberrations and at º for odd aberrations. The monitors operate by the surrounding ring pattern spilling electric field [2, 3] into the center probe position at the image plane, coherently combining with the light from the probe. The level of spillover increases linearly with the amount of the specific Zernike aberration of interest. It has been shown in [9] that the optimum pattern for detecting a specific Zernike aberration is the Inverse Fourier Transform (IFT) of the given Zernike polynomial. The resulting pattern required on the mask consists of a single fat ring concentric with an infinite number of thinner rings of alternating phase with azimuthal variation depending on the original aberration. Using these surrounding patterns, it is even possible to test layouts during the circuit design phase for circuit sensitivity to aberrations expected to arise in manufacturing [4]. Cr 8º º Figure. (Left) High-quality inverse Fourier transform Zernike aberration targets. The central probes of the rotationally symmetric targets are 9º phase shifted, while those for the odd targets are un-shifted. These targets are optimized for small partial coherence factors (σ <.). (Right) SEM image of an 4-foil target on a phase edge mask for λ = 93 nm. (SEM courtesy Ebo Croffie, LSI Logic.) The GDS layouts of high-quality pure phase concentric ring targets in Figure have abrupt phase transitions, which are spatially-limited approximations to the optimum mask patterns that are sensitive to individual Zernike aberrations. These layouts represent our second generation of IFT targets for defocus (Z 3 ), astigmatism (Z 4 ), coma (Z 7 ), spherical (Z 8 ), trifoil (Z ), higher-order astigmatism (Z ), higher-order coma (Z 4 ), higher-order spherical (Z 5 ), and 4-foil (Z 6 ). Here the subscript pairs use the convention of Born and Wolf [5]. The GDS layouts in Figure were generated in collaboration with ASMLithography and submitted to DuPont Photomasks, Inc. for fabrication in a three phase dark field phase-shifting mask. The authors are indebted to Mircea Dusa of ASML who directed the collaborative efforts in both reticle layout and exposure experiments. This highly experimental mask was generously donated through a capitol equipment purchase by ASML and a special fabrication effort by Susan MacDonald with 9º and 8º layers by DuPont Photomasks. The targets for this experiment were implemented for λ = 248 nm with instantiations every 36µm on the wafer for the variable NA (.63,.7,.8) 4 ASML PAS 55/85 scanner and for the 5 (NA =.5) ASML PAS 55/9 stepper, donated to the UC, Berkeley Microlab by ASML. Multiple instantiations are present to allow aberrations to be measured across-the-field of the lens.

3 Not shown are versions of the targets with only one, two, three, and four rings, used to study target performance in systems with larger σ. The challenges in mask making for these experimental masks can be seen in the SEM on the right hand side of Figure. This SEM is from an experiment at 93 nm (NA =.55) and shows the various phase etches required and the abrupt height changes at the phase transitions. While a detailed analysis of the initial run of a 248 nm mask used in this experiment is still pending, all of the phase-height areas appeared to have been created with a slight over etch of the 8 o phase. 3. INITIAL RESIST PRINTING EXPERIMENTS An initial exposure experiment was performed on a 4 PAS 55/85 scanner in the ASML Demo. Lab in Tempe, AZ, operating with a variable NA (.63,.7, or.8, depending on the exposure). The resist stack consisted of 3Å of UV 2 atop 6Å of BARC. A standard coat, exposure, bake, and development process was used. A typical exposure result for the two-ring coma target is shown in the middle of Figure 2. The darker areas in the SEM are areas where the resist has been developed away. Unfortunately the printed resist pattern is significantly different than what would be expected from the openings seen in the layout on the left. The double vertical bars centered about the phase-transition in the coma target suggests that this transition is printing like a highly resolved phase-edge. Looking carefully at the location of the radial phase-transition reveals that it is also printing as a well-defined phaseedge. 8º º Coma (Z 7 ) target λ/na = 3nm, σ= λ/na Intensity.4 λ/na (in focus) Position in field λ/na Figure 2. (Left) GDS layout of the (4 ) two-ring coma target and SEM of corresponding printed resist pattern. The field of view for the SEM is 3 µm. Exposure conditions: NA/σ =.8/.397. (Right) Sidelobes separated by.4 λ/na caused by.5 λ/na º/8º regions making a phase edge (σ =.3). To quantify the relative sizing between the image and the design the behavior of the peaks that surround a phaseedge were used as a ruler. A SPLAT simulation of a º.5 λ/na opening butted against a 8º phase shifted region of the same size at σ =.3 is shown at the right in Figure 2. Two strong (35% of the clear field) symmetric sidelobes exist, separated by.4 λ/na. This corresponds exactly with the wafer dimensions of the separations of the exposed resist regions in the SEM in Figure 2, both in the vertical and radial directions. These observations indicate that the targets fabricated on the mask were grossly oversized. Specifically, we found that the magnification factor of the exposure tool had inadvertently been applied twice in linking together the layout design. Given the available NAs it was determined that it would be impossible to study these targets with a standard λ = 248 nm lithography tools. Instead, microscopic image inspection would be necessary as a quick alternative and our attention turned to the 248 nm AIMS tool and how it might be operated at an NA =.2 to compensate the 4 error in the NA =.8 layout design.

4 4. AIMS MODIFICATION The key enabling factor in our study was the modification by Bruce Griffing of the Zeiss MSM DUV & i-line AIMS system in the Round Rock, Texas facility of DuPont Photomask to image at an NA of.2. Special apertures of 5 nm and 3 nm were inserted into the imaging and illumination paths. This required first making pinholes to replace the apertures provided with the tool to test the feasibility. Then commercially available pin holes were mounted and inserted. A critical step was aligning the pin holes and the lenses. Finally, the operation set-up was also modified to extend the CCD camera capture time from a few hundred milliseconds to approximately eight seconds. Good signal to noise was observed although no special effort was made to optimize image quality during the modified operation. Fortunately, the setup modification could be introduced or removed in about one hour through the efforts of Jason Hickethier and Rahim Momin. Due to the uncertainty of the actual optical parameters that would result we limited our investigation to the two-ring version of the targets. These short radii versions give up some sensitivity and orthogonality but are insensitive to the illumination conditions as long as the partial coherence parameter σ is less than.4. The AIMS experiment took place over the course of two days. On each day the apertures had to be inserted into the system and aligned separately to optimize the quality of both the illumination and the captured light. Alignment of a field stop was also required. The tool has two operating modes: an reflected light optical mode, in which it is possible to locate the target of interest in a larger field at a relatively low magnification ( and 5 ), and a transmitted light imaging mode where 248 or 365 nm light can be used to obtain the aerial image that would be incident at the wafer plane in an exposure tool given the illumination and imaging conditions mimicked by the AIMS tool. Before the exposures were taken on each day, a clear field reference was measured through a large area mask opening. All subsequent scans on that day were normalized by this clear field reference and values are given as a percentage thereof. One significant difference in the Day and Day 2 data is that the optics of the system were adjusted on Day 2 to center the focus reference around the highest Strehl ratio. 5. AIMS CALIBRATION In order to utilize the modified AIMS tool to quantitatively analyze the response of the aberration targets the horizontal scale factor, focus scale factor, numerical aperture, and partial coherence of the system must be calibrated. In addition, the reference level of an isolated interferometric probe and noise levels in the measurements must also be determined. Determining these factors can be confusing and were accomplished as follows. The AIMS output pixel size was first calibrated by measuring the location of the printed phase edge for the two-ring defocus target. Figure 3 shows the target and the AIMS tool CCD image normalized to the clear field reference. The intensity minimum caused by the phase edge is clearly evident and, as will be seen in the intensity cut-lines in Figure 8, has a null-to-null spacing (diameter) of 6 pixels. Using the knowledge that the location of the printing of phase edges tends to be very insensitive to the NA of the system (NA measured ), combined with the fact that the innermost phase edge of the defocus target is designed to have a diameter of 2.4 λ/na design, a useful horizontal scale for AIMS data is extracted. One pixel on the AIMS tool is calculated to be.759 λ/na design. probe cr phase edge 2.4 λ/na design Figure 3. (Left) GDS layout of the two-ring defocus target. (Right) AIMS CCD image of the defocus target at maximum defocus. The working NA of the AIMS tool, NA measured, was then determined from the point spread function (PSF) of the system, which was obtained by imaging an isolated probe. The intensity versus field position in pixels is shown in Figure

5 4 for focal planes. The spacing between the first nulls of the PSF is 75 pixels, or.39 λ/na design. This distance is.22 λ/na measured, which implies that one pixel is.627 λ/na measured. Hence we estimate that NA measured = (.22/.39) NA design =.8..3 Intensity (% CF) % CF 75 pixels Figure 4. Point spread function of the AIMS tool for an isolated probe (nominally.45 λ/na in diameter) through focal positions. NA design =.2, NA measured =.8. The focus scale factor can be determined from the change in peak intensity of the PSF with focus. Figure 5 plots this intensity versus focal position for focal planes around the best focus. Motivated by the fact that the Strehl ratio decreases as the square of defocus, an upside down vertical parabola is fitted to the data. Although there is some noise in the data, the fit indicates a peak value of 29.3% of the clear field at 7.58 focal units. Given that Rayleigh unit of defocus results in a Strehl ratio of 88%, the size of a focal unit in microns or waves can be determined. The focal position at which the parabola is 88% of its maximum represents RU of defocus. This occurs 2 focal units on either side of best focus. Using RU = λ/(2na 2 measured), RU of defocus in this system is 3.83 µm. This gives a horizontal calibration of focus unit =.32 µm..3 Peak intensity (% CF) RU best focus y = -.25(x ) Focal position (focus units) Figure 5. Peak intensity of isolated probe as a function of focal position. A parabola is fitted to demonstrate the Strehl ratio behavior and to find best focus. The noise in the intensity measurements on the AIMS tool with the modification was quite low. The multiple intensity measurements for the isolated probe in Figure 4 roughly indicate that the noise level is less than % of the clear field. Figure 5, the peak value extracted versus focus, shows this in greater detail. The radius of the isolated probe can also be calibrated from Figure 4. The peak intensity of the PSF along with NA measured, yields the actual size of the probe. A probe of diameter.45 λ/na has a simulated peak intensity of 8.8% of

6 the clear field while the maximum intensity of the actual probe investigated herein was 29.5%. The higher intensity at a lower NA indicates that the probe-opening radius was oversized by two factors in radius. The first factor increasing the radius compensates for NA measured being only 92% of NA design to bring the intensity up to the normal 8.8%. The second factor accounts for the fact that the peak intensity measured is significantly higher than this nominal 8.8%. It was determined via simulation that the isolated sub-imagable probe was oversized by 23.5%. To complete the baseline calibration of the AIMS tool the coherence of the illumination needs to be understood, as well as the presence of other important aberrations. This is investigated by studying how a large feature, a line of width ~ 2.35 λ/na = 3 µm, prints. The plot below shows a cutline through the AIMS intensity data as well as three simulation results for a line of the same size with various illumination and aberration conditions. An attempt is made first to match the magnitude of the peaks at the edges of the line and then to match the left-to-right imbalance by introducing an appropriate amount of coma aberration. Simulations were performed using the aerial image tool SPLAT [6]. The lowest curve at x-position = 3 λ/na is the AIMS data. Simulation results for σ =.5 and.3 are symmetric (with no aberrations) and they overlap in the middle. The σ =.3 result reaches a higher peak value, more closely matching the AIMS data. It is therefore concluded that σ is at least as small as.3, meeting the operational constraints of the experiment. Adding. λ (rms) of balanced coma in the x-direction causes an asymmetry in the simulated peak heights, approximating the peak height asymmetry in the measured data. This shows the presence of coma in the AIMS system..4 Intensity (% CF) AIMS sigma =.5 sigma =.3 s =.3, coma = -. waves (rms) (l/na) Figure 6. Intensity cutlines through AIMS data and SPLAT simulations of a 3 µm line. The simulation approximates the measured data when σ is small and a small amount of x-coma is present in the system. 6. EXPERIMENTAL RESULTS To the observer not familiar with these targets, it is very easy to recognize each target by its intensity pattern at the image plane. Figure 7 shows the CCD images of two-ring aberration targets. The three targets with rotational symmetry, which are the defocus, spherical, and higher-order spherical targets, are readily recognized while the two-fold symmetry of the coma and HO coma targets makes them obvious. The higher-order symmetries of the other images indicate the individual aberrations that they are designed to detect. Observation of the images also indicates second order effects in target printing. In the non-rotationally-symmetric targets it can be seen that, while the layout may have certain symmetries, the intensity patterns show some differences. For example, the image intensity of the 4-foil target shows that the open areas in the inner ring of the target have alternating high and low intensities every 45. While this may be due to the intensity imbalance effect commonly found in phase shifted mask areas it could also be a property of the lens. Large amounts of aberrations may be present in the modified AIMS tool, which could result in such asymmetries. Finally, it is seen that, for certain targets, the image of the probe tends to "bleed" into the phase shifted regions of the inner target rings. This is especially evident in the coma and higher-order coma targets and suggests that increasing the size of the chrome region surrounding the probe might be beneficial to target operation.

7 Figure 7. AIMS CCD images of two-ring IFT aberration targets (in same order as Figure ). For σ =.3, the illumination is coherent over the radius of two-ring targets. 7. ANALYSIS OF FOCUS Because the image plane position can be computer controlled in the AIMS system, the defocus target is the obvious candidate for in-depth investigation. Figure 8 shows intensity cutlines through the AIMS data for a two-ring defocus target. The focal positions are the same as those in Figure 5, with each step being.32 µm. Intensity (% CF) focus focus (pixels) Figure 8. Measured AIMS intensity cutlines showing target response to focal position. Figure 9 shows the intensity of the target probe as a function of the programmed defocus along with the behavior of the isolated probe intensity (the PSF). On the horizontal axis, 2 focal units equals Rayleigh unit of defocus. While the isolated probe intensity changes little over the focal range (= 3.2 µm), the intensity of the target probe varies by 39% of the clear field, giving a 2.2% intensity change per µm of defocus. Given that RU of defocus in this system is 3.83 µm, µm of defocus =.26 RU. The intensity of the defocus target probe changes linearly by 47% of the clear field per Rayleigh unit when measured in a ±½ RU interval about best focus. The PSF intensity changes by only 3.5% of the clear field over RU and the Strehl ratio changes only 2% over a full RU of defocus..83 RU of defocus would be required for the PSF intensity to change by 2% of the clear field. While the Strehl ratio changes quadratically, with very little variation in small steps about best focus, the linear response of the defocus target makes it very attractive for highly accurate focus measurements. With a measurement error of - 2% of the clear field, the focal position can be determined to within /4 RU. This is the first experimental evidence of the high sensitivity and linearity of these aberration monitors. Furthermore, the absolute determination of best focus agrees with that of the Strehl ratio behavior to within /35 of a RU. If the target probe were oversized by 23.5% (given the NA measured of the system) however, the accuracy is reduced to only /5 of a RU.

8 Simulated best focus intensity for target with 23.5% oversized probe Probe intensity (% CF) y = -.397x iso-probe (Strehl) 2-ring defocus target Strehl best focus Focal position (focus units) Simulated best focus intensity for target with design-sized probe Figure 9. Intensity of isolated probe and two-ring defocus target probe v. focal position. Note that the peak intensity of the isolated probe remains relatively constant while the defocus target probe intensity changes significantly over the same range of focus values. 2 focal units = RU. The data presented to this point was all taken on the second day of AIMS study. For comparison, in Figure, the intensity plots of a two-ring defocus target taken on Day are shown. Notice that the probe intensity is considerably different than that seen in Figure 8. This motivated a re-examination of the Strehl ratio from Day. It was found from PSF data that the probe on Day had an intensity only 84% that of the probe on Day 2, indicating that the tool was about RU out of focus on Day. To verify that this might be the case, the target was simulated with -.8 RU to +.8 RU of defocus in.2 RU steps (see Figure (right)). It was observed that at about.7 RU approximately the same probe intensity is obtained as that of Day. Figure (left) shows the simulation region of the two-ring defocus target. The green dot in the middle is 9º phase shifted probe with a diameter of.45 λ/na, lying within an isolating region of chrome with an outer radius.5 λ/na. The red ring is 8º phase shifted opening and the yellow is an un-shifted opening. For this simulation the probe has been oversized by 23.5%. Intensity (% CF) Figure. AIMS intensity cutlines through the two-ring defocus target on Day. From this it is concluded that the tool was about.7 RU away from best focus during this session.

9 9º 6 λ/na º Intensity (% CF) f = 2.4 λ/na design σ =.3 8º Figure. (Left) Mask layout of the two-ring defocus Zernike aberration target. The black area is 6 λ/na 6 λ/na and represents chrome, while the red, green, and yellow areas are % transmitting phase shifted openings at 8º, 9º, and º, respectively. For σ =.3, the radius of central lobe of the mutual coherence function = 2 λ/na (represented by dashed white circle). (Right) Simulation results for varying amounts of defocus from.8 RU to +.8 RU in.2 RU steps. Although not shown in the figure, the probe is oversized by 23.5% for simulation. The focus sensitivity of the target becomes even more clear when the probe intensity for the rotationally-symmetric aberration targets are all plotted together as a function of defocus, as seen in Figure. 2. For purposes of comparison, the values plotted are the aberrated peak probe values minus the machine's zero focal position values for each target. It is observed that the peak intensity of the defocus target probe changes by 3% of the clear field from focal position -3 to +6. The programmed focal steps on Day were /4 the size of those on Day 2. Therefore, the sensitivity on Day is calculated to be 3%/(9*(.32 µm/4)), which is 8.% per µm of defocus. Assuming that a RU of defocus was the same on Days and 2, at 3.83 µm, the defocus target on Day is 5.7 more sensitive than the Strehl ratio of the reference probe over RU defocus. δ(intensity) = aberrated - unaberrated (% CF) iso-probe Defocus target -.5 Spherical target -.7 HO Spherical Focal position (Day focus units) Figure 2. AIMS measured probe intensity as a function of focal position for the two-ring defocus, spherical, and higher-order spherical targets. 8. ORTHOGONALITY One of the major benefits of pattern and probe-based aberration monitors is that they are extremely sensitive to the aberration they are designed to detect and much less sensitive to other (even similar) aberrations. This is seen in Figure 3 where intensity plots for the defocus, spherical, and higher-order spherical aberration targets are shown through

10 focus. Although the tool was significantly out of focus on Day, the defocus target sensitivity can be estimated on that day. Figure 3 shows AIMS cutlines through a two-ring spherical target and a two-ring higher-order spherical target over the same focal range as the defocus target in Figure. Comparison of Figures 2 and shows that the defocus target is highly sensitive to defocus and the targets for other rotationally symmetric aberrations are not. The orthogonality estimates presented herein are based on these Day results, where there was a much smaller focus range than Day 2. Over the -3 to +6 focal position range, the focus target showed a 3% change in intensity while the spherical and higher-order spherical showed only 5% and 4.8% changes, respectively. It should thus possible to measure defocus independently of spherical aberration. Intensity (% CF) Intensity (% CF) Figure 3. Day AIMS intensity cutlines through the rotationally-symmetric spherical (left) and higher-order spherical (right) aberration target images. Note the small change in probe intensity relative to that of the defocus target seen in Figure for the same focal range. As expected, the non-rotationally-symmetric targets showed very little response to changes in focus. Cutlines through the AIMS CCD images for the coma and trifoil targets are shown below in Figure 4. The probes for these targets show very little change in intensity relative to that of the defocus target for the Day focal steps, indicating that they have good orthogonality to the defocus aberration. Furthermore, to achieve the 65% of the clear field probe intensity measured in the coma target, simulation of a two-ring coma target with a 23.5% oversized probe indicates that.2 λ (rms) of coma must be present. This is in excellent agreement with the. λ (rms) estimated in Section 5. The orthogonality demonstrated by these targets is made clearer when their responses are plotted along with the defocus target response as a function of focal position, as seen in Figure 5. The data plotted are the aberrated values minus the AIMS tool's zero focal position values. Intensity (% CF) Intensity (% CF) Figure 4. Day AIMS intensity cutlines through two non-rotationally-symmetric spherical aberration target images. (Left) the coma target and (right) the trifoil target.

11 δ(intensity) = aberrated - unaberrated (% CF) non-rotationallysymmetric targets target Defocus Focal position (Day focal units) Figure 5. AIMS measured probe intensity as a function of focal position for the two-ring defocus (black), astigmatism, coma, trifoil, higher-order astigmatism, higher-order coma, and 4-foil targets. The defocus target shows the largest response to defocus while the other targets show very little response. 9. SUMMARY & FUTURE WORK This article provides the first experimental evidence of the high sensitivity and good orthogonality of the interferometric pattern and probe-based aberration monitors. An initial exposure experiment on a 4 optical scanner showed that the targets were oversized by a magnification factor, requiring study on an AIMS tool modified for small NA. AIMS data was taken over a two day period. The defocus target probe intensity changed linearly by 47% of the clear field per Rayleigh unit of defocus when measured in a ±½ RU interval about best focus. The PSF intensity changes quadratically by only 3.5% of the clear field over RU and the Strehl ratio changes only 2% over a full RU of defocus. The focal position can be measured to within /4 RU and absolute best focus measured by the defocus target agrees with that indicated by the Strehl ratio to within /35 of a RU. Given the - 2% error in reading the intensity measurement in the AIMS data the defocus target can provide a much more accurate measure of focus than the Strehl ratio. Another benefit of these targets is that they show very low sensitivity to other aberrations. This made it possible to calculate that there was approximately. λ (rms) of balanced coma present in the AIMS tool as modified. Finally, future work will include further characterization of this mask as well as studies on a new mask with correct target sizes which has just been completed.. ACKNOWLEDGEMENTS The authors would like to thank the following funding sources and collaborative partners. This work was supported by the State of California UC-SMART Program under contract SM99-5, by the Lithography Network SRC 96-LC-46 and DARPA MDA ASM Lithography (Mircea Dusa, Julie Warren, & Simon Willis) DuPont Photomask, Inc. (Bruce Griffing & Susan MacDonald) LSI Logic (Nick Eib & Ebo Croffie)

12 . REFERENCES [] C. Garza, et.al, Proc. SPIE 4346, 36 (2). [2] Litel web site at [3] K. Hayano et al., Proceedings IEICE Technical Report. SDM98-63 (998). [4] H. Fukuda, K. Hayano and S. Shirai, J. Vac Sci. Technol. B. 7(6), 338 (999). [5] A. Imai, et. al, Proc. SPIE 4, 26 (2). [6] P. Dirksen, et. al, Proc. SPIE 3679, 77 (999). [7] P. Dirksen, et. al, Proc. SPIE 4, 9 (2). [8] J. Kirk, Proc. SPIE 4, 2 (2). [9] G. Robins, K. Adam, and A. Neureuther, JVST 2 (), 338 (Jan. 22). [] G. Robins and A. Neureuther, Proc. SPIE 469, (22). [] G. Robins and A. Neureuther, JVST 2 (6), 26 (Nov. 22). [2] A.R. Neureuther, K. Adam and S. Hotta, Proc. SPIE 486, 45 (2). [3] A. R. Neureuther, et. al, Proc. SPIE 4346, 33 (2). [4] F. Gennari, G. Robins, and A. Neureuther, Proc. SPIE 4692B (22). [5] Born and Wolf, Principles of Optics, Chapter 9. [6] K.H. Toh and A.R. Neureuther, Proc. SPIE. 772, 22 (987).

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Automated asphere centration testing with AspheroCheck UP F. Hahne, P. Langehanenberg F. Hahne, P. Langehanenberg, "Automated asphere

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

ADVANCED OPTICS LAB -ECEN Basic Skills Lab

ADVANCED OPTICS LAB -ECEN Basic Skills Lab ADVANCED OPTICS LAB -ECEN 5606 Basic Skills Lab Dr. Steve Cundiff and Edward McKenna, 1/15/04 Revised KW 1/15/06, 1/8/10 Revised CC and RZ 01/17/14 The goal of this lab is to provide you with practice

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Tutorial Zemax 8: Correction II

Tutorial Zemax 8: Correction II Tutorial Zemax 8: Correction II 2012-10-11 8 Correction II 1 8.1 High-NA Collimator... 1 8.2 Zoom-System... 6 8.3 New Achromate and wide field system... 11 8 Correction II 8.1 High-NA Collimator An achromatic

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Exercise 1 - Lens bending

Exercise 1 - Lens bending Exercise 1 - Lens bending Most of the aberrations change with the bending of a lens. This is demonstrated in this exercise. a) Establish a lens with focal length f = 100 mm made of BK7 with thickness 5

More information

ECEN 4606, UNDERGRADUATE OPTICS LAB

ECEN 4606, UNDERGRADUATE OPTICS LAB ECEN 4606, UNDERGRADUATE OPTICS LAB Lab 2: Imaging 1 the Telescope Original Version: Prof. McLeod SUMMARY: In this lab you will become familiar with the use of one or more lenses to create images of distant

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Performance Factors. Technical Assistance. Fundamental Optics

Performance Factors.   Technical Assistance. Fundamental Optics Performance Factors After paraxial formulas have been used to select values for component focal length(s) and diameter(s), the final step is to select actual lenses. As in any engineering problem, this

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION Revised November 15, 2017 INTRODUCTION The simplest and most commonly described examples of diffraction and interference from two-dimensional apertures

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

ADVANCED OPTICS LAB -ECEN 5606

ADVANCED OPTICS LAB -ECEN 5606 ADVANCED OPTICS LAB -ECEN 5606 Basic Skills Lab Dr. Steve Cundiff and Edward McKenna, 1/15/04 rev KW 1/15/06, 1/8/10 The goal of this lab is to provide you with practice of some of the basic skills needed

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Puntino. Shack-Hartmann wavefront sensor for optimizing telescopes. The software people for optics

Puntino. Shack-Hartmann wavefront sensor for optimizing telescopes. The software people for optics Puntino Shack-Hartmann wavefront sensor for optimizing telescopes 1 1. Optimize telescope performance with a powerful set of tools A finely tuned telescope is the key to obtaining deep, high-quality astronomical

More information

Reference and User Manual May, 2015 revision - 3

Reference and User Manual May, 2015 revision - 3 Reference and User Manual May, 2015 revision - 3 Innovations Foresight 2015 - Powered by Alcor System 1 For any improvement and suggestions, please contact customerservice@innovationsforesight.com Some

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces James T. McCann OFC - Diamond Turning Division 69T Island Street, Keene New Hampshire

More information

Radial Polarization Converter With LC Driver USER MANUAL

Radial Polarization Converter With LC Driver USER MANUAL ARCoptix Radial Polarization Converter With LC Driver USER MANUAL Arcoptix S.A Ch. Trois-portes 18 2000 Neuchâtel Switzerland Mail: info@arcoptix.com Tel: ++41 32 731 04 66 Principle of the radial polarization

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Laboratory experiment aberrations

Laboratory experiment aberrations Laboratory experiment aberrations Obligatory laboratory experiment on course in Optical design, SK2330/SK3330, KTH. Date Name Pass Objective This laboratory experiment is intended to demonstrate the most

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The Modulation Transfer Function (MTF) is a useful tool in system evaluation. t describes if, and how well, different spatial frequencies are transferred from object to image.

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

NANO 703-Notes. Chapter 9-The Instrument

NANO 703-Notes. Chapter 9-The Instrument 1 Chapter 9-The Instrument Illumination (condenser) system Before (above) the sample, the purpose of electron lenses is to form the beam/probe that will illuminate the sample. Our electron source is macroscopic

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

BEAM HALO OBSERVATION BY CORONAGRAPH

BEAM HALO OBSERVATION BY CORONAGRAPH BEAM HALO OBSERVATION BY CORONAGRAPH T. Mitsuhashi, KEK, TSUKUBA, Japan Abstract We have developed a coronagraph for the observation of the beam halo surrounding a beam. An opaque disk is set in the beam

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Computer Aided Design Several CAD tools use Ray Tracing (see

More information

ABSTRACT. Keywords: Computer-aided alignment, Misalignments, Zernike polynomials, Sensitivity matrix 1. INTRODUCTION

ABSTRACT. Keywords: Computer-aided alignment, Misalignments, Zernike polynomials, Sensitivity matrix 1. INTRODUCTION Computer-Aided Alignment for High Precision Lens LI Lian, FU XinGuo, MA TianMeng, WANG Bin The institute of optical and electronics, the Chinese Academy of Science, Chengdu 6129, China ABSTRACT Computer-Aided

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

ECEN 4606, UNDERGRADUATE OPTICS LAB

ECEN 4606, UNDERGRADUATE OPTICS LAB ECEN 4606, UNDERGRADUATE OPTICS LAB Lab 3: Imaging 2 the Microscope Original Version: Professor McLeod SUMMARY: In this lab you will become familiar with the use of one or more lenses to create highly

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

High Resolution Microlithography Applications of Deep-UV Excimer Lasers Invited Paper High Resolution Microlithography Applications of Deep-UV Excimer Lasers F.K. Tittel1, M. Erdélyi2, G. Szabó2, Zs. Bor2, J. Cavallaro1, and M.C. Smayling3 1Department of Electrical and Computer

More information

WaveMaster IOL. Fast and accurate intraocular lens tester

WaveMaster IOL. Fast and accurate intraocular lens tester WaveMaster IOL Fast and accurate intraocular lens tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is a new instrument providing real time analysis

More information

Guide to SPEX Optical Spectrometer

Guide to SPEX Optical Spectrometer Guide to SPEX Optical Spectrometer GENERAL DESCRIPTION A spectrometer is a device for analyzing an input light beam into its constituent wavelengths. The SPEX model 1704 spectrometer covers a range from

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Notes on the VPPEM electron optics

Notes on the VPPEM electron optics Notes on the VPPEM electron optics Raymond Browning 2/9/2015 We are interested in creating some rules of thumb for designing the VPPEM instrument in terms of the interaction between the field of view at

More information

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester WaveMaster IOL Fast and Accurate Intraocular Lens Tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is an instrument providing real time analysis of

More information

Reticle defect size calibration using low voltage SEM and pattern recognition techniques for sub-200 nm defects

Reticle defect size calibration using low voltage SEM and pattern recognition techniques for sub-200 nm defects Reticle defect size calibration using low voltage EM and pattern recognition techniques for sub-2 nm defects Larry Zurbricka, teve Khannaa, Jay Leea, Jim Greed", Ellen Laird', Rene Blanquies" a - KLA-Tencor

More information

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment CHARA Telescope Alignment By Laszlo Sturmann Mersenne (Cassegrain type) Telescope M2 140 mm R= 625 mm k = -1 M1/M2 provides an afocal optical system 1 m input beam and 0.125 m collimated output beam Aplanatic

More information

Chapters 1 & 2. Definitions and applications Conceptual basis of photogrammetric processing

Chapters 1 & 2. Definitions and applications Conceptual basis of photogrammetric processing Chapters 1 & 2 Chapter 1: Photogrammetry Definitions and applications Conceptual basis of photogrammetric processing Transition from two-dimensional imagery to three-dimensional information Automation

More information

The predicted performance of the ACS coronagraph

The predicted performance of the ACS coronagraph Instrument Science Report ACS 2000-04 The predicted performance of the ACS coronagraph John Krist March 30, 2000 ABSTRACT The Aberrated Beam Coronagraph (ABC) on the Advanced Camera for Surveys (ACS) has

More information

Study of Graded Index and Truncated Apertures Using Speckle Images

Study of Graded Index and Truncated Apertures Using Speckle Images Study of Graded Index and Truncated Apertures Using Speckle Images A. M. Hamed Department of Physics, Faculty of Science, Ain Shams University, Cairo, 11566 Egypt amhamed73@hotmail.com Abstract- In this

More information

2. ADVANCED SENSITIVITY

2. ADVANCED SENSITIVITY Use of advanced sensitivity approach to novel optical compensation methods Mark C. Sanson & Keith Hanford Corning Incorporated, 60 O Connor Rd., Fairport, NY, USA 14450 ABSTRACT Understanding the sensitivity

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Ron Liu OPTI521-Introductory Optomechanical Engineering December 7, 2009

Ron Liu OPTI521-Introductory Optomechanical Engineering December 7, 2009 Synopsis of METHOD AND APPARATUS FOR IMPROVING VISION AND THE RESOLUTION OF RETINAL IMAGES by David R. Williams and Junzhong Liang from the US Patent Number: 5,777,719 issued in July 7, 1998 Ron Liu OPTI521-Introductory

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Use of Computer Generated Holograms for Testing Aspheric Optics

Use of Computer Generated Holograms for Testing Aspheric Optics Use of Computer Generated Holograms for Testing Aspheric Optics James H. Burge and James C. Wyant Optical Sciences Center, University of Arizona, Tucson, AZ 85721 http://www.optics.arizona.edu/jcwyant,

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad.

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER III PAPER III OPTICS UNIT I: 1. MATRIX METHODS IN PARAXIAL OPTICS 2. ABERATIONS UNIT II

More information

Measurement of the Modulation Transfer Function (MTF) of a camera lens. Laboratoire d Enseignement Expérimental (LEnsE)

Measurement of the Modulation Transfer Function (MTF) of a camera lens. Laboratoire d Enseignement Expérimental (LEnsE) Measurement of the Modulation Transfer Function (MTF) of a camera lens Aline Vernier, Baptiste Perrin, Thierry Avignon, Jean Augereau, Lionel Jacubowiez Institut d Optique Graduate School Laboratoire d

More information

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable. 1 1.1 Singlet Optimize a single lens with the data λ = 546.07 nm, object in the distance 100 mm from the lens on axis only, focal length f = 45 mm and numerical aperture NA = 0.07 in the object space.

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes H. M. Martin, R. G. Allen, J. H. Burge, L. R. Dettmann, D. A. Ketelsen, W. C. Kittrell, S. M. Miller and S. C. West Steward Observatory,

More information

Test procedures Page: 1 of 5

Test procedures Page: 1 of 5 Test procedures Page: 1 of 5 1 Scope This part of document establishes uniform requirements for measuring the numerical aperture of optical fibre, thereby assisting in the inspection of fibres and cables

More information

Far field intensity distributions of an OMEGA laser beam were measured with

Far field intensity distributions of an OMEGA laser beam were measured with Experimental Investigation of the Far Field on OMEGA with an Annular Apertured Near Field Uyen Tran Advisor: Sean P. Regan Laboratory for Laser Energetics Summer High School Research Program 200 1 Abstract

More information

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

IMAGE SENSOR SOLUTIONS. KAC-96-1/5 Lens Kit. KODAK KAC-96-1/5 Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2 KODAK for use with the KODAK CMOS Image Sensors November 2004 Revision 2 1.1 Introduction Choosing the right lens is a critical aspect of designing an imaging system. Typically the trade off between image

More information

Optical Performance of Nikon F-Mount Lenses. Landon Carter May 11, Measurement and Instrumentation

Optical Performance of Nikon F-Mount Lenses. Landon Carter May 11, Measurement and Instrumentation Optical Performance of Nikon F-Mount Lenses Landon Carter May 11, 2016 2.671 Measurement and Instrumentation Abstract In photographic systems, lenses are one of the most important pieces of the system

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

October 7, Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA Dear Peter:

October 7, Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA Dear Peter: October 7, 1997 Peter Cheimets Smithsonian Astrophysical Observatory 60 Garden Street, MS 5 Cambridge, MA 02138 Dear Peter: This is the report on all of the HIREX analysis done to date, with corrections

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

Bias errors in PIV: the pixel locking effect revisited.

Bias errors in PIV: the pixel locking effect revisited. Bias errors in PIV: the pixel locking effect revisited. E.F.J. Overmars 1, N.G.W. Warncke, C. Poelma and J. Westerweel 1: Laboratory for Aero & Hydrodynamics, University of Technology, Delft, The Netherlands,

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The resolution and performance of an optical microscope can be characterized by a quantity known as the modulation transfer function (MTF), which is a measurement of the microscope's

More information