OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Size: px
Start display at page:

Download "OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION"

Transcription

1 OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858, USA b ASML, de Run 111, 553 LA Veldhoven, The Netherlands c Carl Zeiss, D-7366 Oberkochen, Germany This paper was first presented at SPIE The 5th Annual International Symposium on Microlithography February 7-March 3, Santa Clara, CA, U.S.A.

2

3 OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858, USA b ASML, de Run 111, 553 LA Veldhoven, The Netherlands c Carl Zeiss, D-7366 Oberkochen, Germany ABSTRACT Various factors, such as lens aberrations, system vibration and the choice of illumination polarization can degrade the level of modulation, and hence, image quality. This paper discusses the sensitivity of multiple feature types to these factors. It is shown that aberration sensitivity increases linearly with decreasing resolution, scaled to the Rayleigh criteria. An analysis of the vibration tolerance is done for transverse and axial vibration planes, where the effects on the process window and CD uniformity are measured. The vibration is shown to decrease the process window greater for low contrast images and is shown to scale directly with the resolution. The new millennium will usher in optical systems with very high NA lenses (>.75 NA) for 8 nm, 193 nm and 157 nm. This paper re-examines the role of the polarization on required specifications of the exposure tool optics. It is found that tight polarization specifications with <1% residual polarization will be needed for future systems. 1. INTRODUCTION The next decade, within the new millennium, will likely see the extension of optical lithography down to 5 nm resolution for isolated gates and possibly periodic structures. This may be accomplished using NA >.75, wavelengths down to 157 nm and a plethora of techniques such as phase shift masks, nonconventional illumination and advanced photoresist processes. The success of manufacturing processes at these sub-wavelength resolutions will rely on the ability either to print low modulation images or the ability to increase the image modulation to a level that will give acceptable lithographic yield. Typically the industry has used the Rayleigh criterion to judge the resolution and depth of focus capability of a process. These equations, given by Resolution λ λ = k and DOF = k NA NA (1) have their basis in fundamental imaging equations and allow the process difficulty level to be described by the constant k 1. Image modulation is best described by contrast or the logarithm of the aerial image slope in the vicinity of the feature edge (also called the log-slope). The contrast is defined by Contrast I max I min = I max + I min () where the image irradiance I is a function of position in the image field. Previously, a contrast level for viable manufacturing would have limited the working contrast and modulation levels to 6% through focus. This is roughly comparable to the Rayleigh criterion of k 1 >.5. However, within the past few years, there has been a tremendous advance of photoresist, reticle and lens technology, which has resulted in so-called image enhancement. The consequence of this is successful lithographic printing of features that would have produced contrast levels of well below 6%. The future of lithography shows that this trend will continue unabated until there is very little working modulation. Figure 1 shows evidence of this by plotting NA, k 1 and contrast as a function of the year and resolution. This is based on the 1999 ITRS projections for the DRAM resolution introduction into manufacturing. The contrast numbers are based on an aerial image simulation of periodic lines with a 5% duty cycle and a partial coherence of σ=.7. The authors have made some assumptions concerning NA and wavelength based on industry tendencies and expectations. Ideally, one would not want the k 1 or contrast to continually decrease since this implies more difficult processes. As can be seen, the decrease in wavelength and increase in NA only temporarily mitigates the loss of contrast. The minimum k 1 keeps dropping until the introduction of EUV technology. To create an aerial image modulation at low levels will either require low lens aberration levels and high contrast photoresist processes or fundamental change in wavelength technology when the image is no longer viable. 1

4 metric i-line DUV 139nm 157nm EUV '97 '99 '1 '3 '5 '7 '9 ' Figure 1 NA k 1 contrast '13 year CD[nm Expected NA, k 1 and contrast as a function of time. Based on the DRAM resolution targets of the 1999 SIA roadmap. Contrast is simulated for a periodic array with 5% duty cycle and a partial coherence of σ=.7. At low k 1 (<.5), one or more image enhancement technologies will be needed to sustain the image through focus. A partial list of these technologies would consists of phase shift masks, advanced optical proximity correction, advanced photoresists, pupil filtering, double exposures and exotic illumination. At k 1 <. the modulation of the image will be extremely sensitive to a multitude of parameters. Various factors, such as lens aberrations, system vibration and the choice of illumination polarization will degrade the level of modulation, and hence, image quality. Wagner [1] gives a detailed discussion of the technology needed to achieve working lithography in these regimes. The work presented here will discuss the sensitivity of multiple feature types to various imaging detractors using simulation analysis. The stability of the photoresist image in the presence of lens aberrations will be reviewed, and the effects on feature types will be examined using binary and phase-shifted masks with varying degrees of lens pupil filling. The resultant metrics will be CD performance by Monte Carlo and pattern shift. An analysis of the vibration tolerance for the transverse and axial vibration planes is also done by observing the decrease in the overall process window as resolution and vibration are increased. Finally, the millennium will usher in optical systems with very high NA lenses (>.75 NA) for 8 nm, 193 nm and 157 nm. This necessitates a re-examination of the polarization requirements, especially for low-k 1 lithography. We will review the polarization effects with emphasis on required specifications of the exposure tool optics. I-153.ILL. SCALING AND IMAGING Understanding the sensitivity of imaging metrics to various factors is facilitated by an examination of the partial coherent imaging equations written in terms of a linear systems approach. [] This is given by,, I ( rz ; ) (3) s ρ J ρ = d ( ) FT Õ( ρ ρ )P ( ρ)f ( ρ; z)h( ρ; Z ) i i i where the image, I, in a given film such as photoresist, is a function of position, r and specific for a given focus position z. This equation is valid for all NAs and the image is the summation of overall polarization states, i. The integral is over the source distribution, defined by J. Of interest to us in this work is the Fourier term within brackets. This represents the electric field distribution at the exit pupil. We note that the terms are the object spectrum of the reticle pattern, a polarization function, a film function and a pupil function given by, H( ρ; z ) = A ρ ( )e ik z γ e ik W ( ρ) γinput γ where A is the amplitude distribution, W describes the wavefront aberrations, and γ input and γ are direction cosines at the entrance and exit pupils. The terms can be considered approximately separable, allowing a general independent analysis of the effects. The ability to scale the various imaging effects in lithography has the advantage that we can determine cause and effect relationships at a specific set of parametric conditions, either by experimentation or simulation, and predict the effect by scaling at another set of conditions. Perhaps the most common scaling rule in lithography is the Rayleigh equations in (1). The resolution can be scaled in units of k 1 or NA/λ, while the DoF is scaled in units of NA /λ. Although an earlier work [3] has shown that these expressions are not exact for aerial images at very high NA, they still provide a reasonable approximation for examining general properties considering partial coherent illumination. The image scaling for resolution is a good approximation for aerial images for NA<.9 and close to exact for TE polarization. The DoF actually scales with a cosine function; hence the breakdown occurs due to a high NA simplification and not polarization considerations; however, it still provides a valid nd order approximation for NA<.85. If we consider the pupil filling of the lens by the object spectrum and the source, the resolution scaling does produce an exact, normalized angular relationship. ()

5 Figure Isolated lines with varying levels of aberration and scaled resolution. At k 1 -., there is catastrophic failure such that most aberration levels destroy the lithographic image. Therefore, for a given value of resolution in terms of k 1, the object spectrum convolved with the source always has the same distribution within the lens pupil. Each scaled resolution will also have approximately the same contrast. For example, consider cases with different wavelengths: 1) λ=193 nm with NA=.75 and dense line width=1 nm, and ) λ=157 nm with NA=.8 and dense linewidth=1 nm. Both of these cases will have a contrast. with the same relative pupil distribution when the partial coherence has a value of σ=.7. Figure illustrates this with 3 different views of a lens pupil with varying scaled resolutions of a periodic object with 5% duty cycle. The k 1 defines the position of the orders in the pupil, while the main effect of lowering k 1 is to proportionately lower the resolution and also lower the contrast. Aberrations directly affect the pupil function by equation (3) and (), with the secondary impact being on the aerial image and contrast. Therefore, aberrations will scale with the proportion of the pupil being used or with k 1. On the other hand, vibrations generally occur outside of the imaging lens and do not directly enter the image equation. We would expect that vibrations would somehow scale with the size of the aerial image. The expectation is that the transverse vibration should scale with the resolution, while the axial vibration should scale with the DoF. This implies that the axial vibration would also be sensitive, indirectly, to the value of the NA and the lens pupil filling. Finally the effects of polarization and high NA are analyzed by observing the terms in the full imaging equation. The film function and the polarization function in equation (3) have the strongest influence on the resultant image. The effects with the polarization term tend to scale with the cosine of the angle; however, partial coherence and varying film stacks complicate any simple scaling. The approach here will be to use a vector simulation and vary the NA but keep the k 1 constant and the photoresist thickness to.5 λ/na, maintaining a constant pupil distribution for NA comparisons. 3. STUDY I: ABERRATION EFFECTS This study looks at the impact that aberrations have on imaging as scaled resolution is decreased using a Monte Carlo technique. [] The metrics of interest are change in CD from an aberration free reference and 3

6 image shift from an aberration free reference. The various cases that are examined are listed in Table 1. Dense lines (5% duty cycle), isolated lines and an end-of-line feature are simulated. The method of analysis uses an approach whereby 5 random combinations of Zernike aberrations are created to provide wavefront RMS values that range from λ to.8λ. Each combination is fed into a commercial lithography simulator (e.g., Solid-C from Sigma-C or Prolith from Finle Technologies) and a measurement of the photoresist linewidth and average photoresist pattern shift is output. This is done for each scaled resolution of interest. Additional parameters are listed in Table. A full photoresist model is used that has been calibrated to an in-house DUV process. To minimize extreme photoresist effects such as standing waves, a generic film stack was created using a matched substrate. Figure 3 and Figure shows an example output from the CD simulation for dense and isolated lines, and Figure 5 shows an example plot of the image shift data. This data has been normalized to the CD and image shift for RMS=. The CD data generally shows greater CD changes with decreasing scaled resolution, while the image shift data shows only slightly higher sensitivity. The CD data is consistent with the fact that a lower k 1 with a given process stresses the outer areas of the lens pupil, increasing sensitivity to aberrations. Also, the dense lines have a linewidth that increases with aberration level while the isolated lines decrease. This is due to the fact that various processes and features may have iso-focal regions, or perhaps more correct iso-aberrational regions close to the target CD value. [5] Isolated lines tend to have a quadratic functionality of CD though focus such that their iso-aberrational region is far above the target CD. Therefore, aberrations decrease their line width. The dense lines may have this region very close to the target CD, as is the case here, where the image shift data shows a linear behavior for the maximum effect. Table 1 Case studies describing the features and resolution of interest Case Feature/Reticle type Resolution (k 1 range) Dense lines, binary reticle Dense lines, 6% attenuated PSM Dense lines, alternating PSM Isolated lines, binary reticle Isolated lines, alternating PSM Isolated lines, alternating PSM end-of-line structure (EOL), binary reticle (measured as space between the end of lines) Partial Coherence, σ Table.. Simulation parameters Parameter Numerical aperture, NA wavelength, l 8 nm Photoresist index n= i Substrate index n= i Photoresist film thickness, λ/na.688 µm Exposure and Focus Value.6 Centered for each case and resolution

7 Figure 3 Photoresist line shift or dense lines, normalized to aberration case. We can further reduce the data by fitting by defining the maximum change as a function of RMS. This is given by the average plus the mean absolute deviation (MAD) corrected for the average. Figure 6 shows an example of this as a surface that is a function of scaled resolution and wavefront RMS for the dense line case. Once reasonable functions are obtained, an comparison of all cases can be made by defining a target or specification. For a CD change, it seems reasonable to allow up to 5% CD change due to the maximum lens aberration. For the pattern shift, a rule of thumb is usually defined as 1% of the target resolution. Once the RMS aberration level is obtained pertaining to a specific target, aberration sensitivity is defined by taking the reciprocal of the RMS, resulting in sensitivity number with units of λ -1. This metric has the advantage of increasing with heightened aberration effect. Figure 7 shows this data, where the limiting feature for aberration sensitivity is the isolated PSM with respect to pattern shift. The EOL and isolated features show the highest sensitivity for CD change. The isolated PSM cases have the highest resolution and therefore show data at k 1 =.5 and.. The aberration RMS values with CD change have an approximate linear functionality on k 1, verifying our original hypothesis. However, the image shift only shows a linear behavior for the isolated case. The dense cases have a constant behavior with resolution. There are opposing factors that explain this phenomena with dense lines: 1) the image shift is proportional to the transverse aberration, and therefore, the sensitivity must decrease with increasing NA, where NA is defined by first diffraction orders of the dense lines, and ) the absolute resolution requires that the specification will be less as k 1 is lowered. The net effect is that the% change in pattern shift remains constant with scaled resolution for dense lines. The choice of illumination is a critical factor in any aberration sensitivity analysis. Case 5, the isolated PSM 5

8 resolution =.6 resolution =.5 resolution = contrast =.8 contrast =.7 contrast =. irradiance I-1533.ILL position Figure position -.. position Top row shows the pupil distributions for a given resolution in units of NA/ λ or k 1. The bottom row gives the respective irradiance, I, of the aerial image as a function of normalized position in units of k 1. feature, uses a small partial coherence that strongly influenced the aberration effects. Small increases in the illumination size quickly change the iso-aberrational region and influence the sensitivity of the feature type as evidenced by Case 6, where the partial coherence has been slightly increased. This small change resulted in slightly reducing the CD sensitivity to aberrations but greatly influencing the pattern shift sensitivity. There are also aberration combinations, even with high levels of RMS that will have effects much lower than the maximum CD change. Hence, Figure 7 and Figure 8 represent worst case examples and are not necessarily indicative of any one lens. Currently, Zeiss is improving the lens technology such that the maximum RMS level will be <.5λ for advanced step and scan systems.. STUDY II: VIBRATION EFFECTS Since vibrations have a direct impact on the aerial image, their effect in a lithographic system can be approximated by the convolution of the image given in equation (3) with a probability density function of the vibrations. [6] Hence, any aerial images with the same overall shape, contrast, and/or log-slope will behave in a similar fashion with vibration. For example, an image formed with phase masks will behave the same as an image made with conventional illumination if their aerial images were similar before vibration. Also, unlike lens aberrations, vibrations, especially on a scanning exposure system, do not often produce systematic intrafield CD errors, unless the non-correctable distortional lens errors are high (<15 nm). Their impact is to lower the process window and the CD uniformity, where the effects can be seen as field-to-field, wafer-to-wafer and tool-to-tool. In this work, we only consider Gaussian vibration, looking at both the transverse and axial vibration. Vibration is given in terms of the moving standard deviation (MSD), which is a common metric for the optical scanners. The analysis here considers a pupil filling using conventional illumination with a partial coherence of σ=.7. The hypothesis being that, as we lower the scaled resolution, the contrast levels of the 6

9 Figure 5 Dense lines with varying levels of aberration and scaled resolution for partial coherence σ=.7. There is no viable process to sustain the images beyond k 1 =.35. image decreases and the sensitivity to vibrations increase. Figure 8a shows this impact of transverse MSD on an isolated line by analyzing the log-slope of the aerial image. Figure 8b shows the same data but, now the vibration is normalized to the scaled resolution, all points plot approximately on the same curve, which implies that vibration scales inversely with resolution While aerial image studies provide invaluable image information, it is often difficult to extrapolate to a photoresist response. This is studied by analyzing the response of dense and isolated features to various levels of vibration using the parameter set of Cases 1 and in Table II. The simulation is done through a range of exposure and focus for transverse vibrations with MSD X = nm, nm, nm, and 8 nm and longitudinal or axial vibrations with MSD Z = nm, 5 nm, 5 nm, 1 nm, and nm. The simulation outputs exposure-defocus curves from which the exposure latitude (EL) and DoF can be extracted. Figure 9a and Figure 9b gives an example of these curves for the isolated line case at a scaled resolution of., where the area under the curves decreases with increasing MSD. Additionally, we note that Figure 9b shows the maximum DoF increasing with the highest axial MSD. This is the effect called focus drilling ; however, the overall process window does not improve due to a large loss in exposure latitude. The process window can be defined as the area under the EL vs. DoF curves, i.e., the process area (PA), approximated here by ( EL( DOF = ) DOF( EL = ) PA = (5) Figure 1a and Figure 1b shows this as a percent of the PA that is lost as the normalized MSD increases for both transverse and axial vibration. The normalization for the axial MSD is done using the Rayleigh approximation for DoF, i.e., λ/na, where the dense line NA is calculated from the positions of the ±1 diffraction orders, given by λ/pitch. The data shows an approximately linear functionality for process window; however, an estimate of CD uniformity shows that the 7

10 CD change [%] I-1536.ILL resolution.5 3 resolution RMS [mwaves] Figure 6 Dense line sensitivity to scaled resolution and wavefront aberration RMS. shift change [%] RMS [mwaves] aberration sensitivity res =.6 res =.5 res =. res =.35 res =.3 res =.5 res =. aberration sensitivity dense dense att. dense alt. isolated iso. alt.pc =.35 iso. alt.pc =.5 EOL dense dense att. I-1537.ILL dense alt. isolated iso. alt.pc =.35 iso. alt.pc =.5 Figure 7 (a) Sensitivity to RMS wavefront aberrations for the various features, where the reciprocal of the sensitivity is the RMS wavefront in wavelengths: (a) aberration sensitivity for a 5% CD change (b) aberration sensitivity for a 1% pattern shift. (b) 8

11 MSD x = MSD z = I-1539.ILL exposure latitude 1 MSD x = 8 nm exposure latitude 1 MSD x = nm DoF [µm] DoF [µm] (a) (b) Figure 8 Process window for an isolated line with a scaled resolution =.: (a) varying transverse MSD levels, and (b) varying axial MSD levels resolution=.5 resolution=. resolution= resolution=.5 resolution=. resolution=.35 I-1538.ILL 1 1 log-slope loss [%] log-slope loss [%] MSDx [nm] normalized MSDx [nm] (a) (b) Figure 9 The percentage change in the log-slope of an isolated line aerial image as a function of the transverse vibration: (a) the MSD in units of nm, and (b) the MSD normalized to the resolution functionality with MSD is only linear for normalized MSD below., as shown in Figure 11a and Figure 11b. The percentage CD uniformity was calculated by assuming a process with a total exposure variation of 6% and extracting the resultant CD range for best focus. The data is then normalized to MSD= to produce the results. Finally, we end this section with an estimate of a target specification for isolated lines. It is obvious from the data 9

12 8 isolated line dense line 16 isolated line dense line I-15.ILL process area loss [%] 6 process area loss [%] normalized MSDx normalized MSDz (a) (b) Figure 1 The change in the process area as function of the: (a) normalized transverse MSD, and (b) the normalized axial MSD CD uniformity change [%] isolated line dense line CD uniformity change [%] isolated line dense line I-151.ILL normalized MSDx normalized MSDz (a) (b) Figure 11 The percentage loss in the CD uniformity as a function of the: (a) normalized transverse MSD, and (b) the normalized axial MSD that the longitudinal vibration is less sensitive to change than the transverse vibration, but the isolated lines are more sensitive to longitudinal vibration than the dense lines. If we choose a PA<1%, we end up in the region of normalized MSD x =.1 or approximately 1% of the resolution, which would also give us a CD uniformity loss<1%. Our normalized longitudinal vibration would need to be MSD z =.18 or 18% of the Rayleigh DoF. For example, to determine vibration targets for a system with NA=.7, λ=8 nm and k 1 =., our CD would be 1 nm and DoF.5 µm. Our vibration targets should be MSD x <1 nm and MSD z <1 nm. 1

13 5. STUDY III: HIGH NA EFFECTS AND POLARIZATION The loss in DoF with high NA is well known according to equation (1) and will not be explored here. However, the polarization targets for high NA partially coherent systems have not been widely examined. According to equation (3), high NA imaging is intrinsically linked with the polarization state and the thin film structure, where the electric field coupling and the absorbed power by a photoresist film can be drastically altered. Therefore, from a manufacturer point of view, it is important to understand the extent of this effect, such that proper targets can be determined. Before looking at the influence of imaging, we examine the effect of polarization on the absorbed power due to incident plane waves on a photoresist film with a perfect absorbing substrate. The absorbed power in a photoresist film will be proportional to the exposure necessary to develop. Figure 1 gives the result of a simulation where the incident angles are given in units of NA and the absorbed power has been normalized to the unpolarized state. The orthogonal polarization states diverge extensively at higher NA up to a 5% power change. An imaging system would contain a multitude of incident angles, reducing this effect; however, alternating PSMs often require a small partial coherence which will restrict the total number of angles and could produce similar exposure changes. For this study, we examined imaging configurations to explore the effects. To obtain a valid comparative analysis, we restrict the pupil filling by the object to be identical for each NA that is examined. Hence, we the fix the k 1 =. and vary the NA and the feature size. Since the effects of the photoresist thickness on the DoF should also be minimized, the thickness is fixed to.5 λ/na. Table III gives the feature conditions, where the simulation is based on λ=8 nm. The results of the simulation are shown in Figure 13. The CD difference from a completely polarized state and the unpolarized state is plotted as a percentage of the unpolarized CD. Clearly, dense lines with an alternating PSM is the most critical feature. This is expected since the pupil configuration essentially produces -beam interference at the wafer level. This case will tend to maximize the polarization effects. If we target NA=.85 and wanted to limit the systematic CD error due to polarization to <3%, we would limit the residual polarization to 1% as shown in Figure 1. The simulation results also indicate that the level of pupil filling and partial coherence can lessen the polarization effects. This is evidenced by the small polarization influence on the features using conventional illumination. power change [%] TE polarization TM polarization I-15.ILL CD change [%] dense PSM isolated PSM dense isolated I-153.ILL Figure NA Power percentage change as a function of the incident angle in NA units. This is proportional to exposure change in resist for orthogonal polarization states NA Figure 13 CD percentage change from the completely polarized state to the unpolarized state as a function of NA. 11

14 Table 3 Simulation parameters for polarization study REFERENCES Case Feature/Reticle 1 Dense.7 Dense with alternating PSM.3 3 Isolated.7 Isolated with alternating PSM.3 CD change [%] polarized dense PSM 1% polarized dense PSM polarized dense 1% polarized dense Partial Coherence, σ I-15.ILL [1] C. Wagner et. al., Advanced technology for extending optical lithography, SPIE vol., () [] D. Flagello et. al., Theory of high-na imaging in homogeneous thin films, J. Opt. Soc. Am A 13, 53-6 (1996) [3] D. Flagello and A.E. Rosenbluth, Lithographic tolerances based on vector diffraction theory, J. Vac. Sci. Technol. B 1(6), (199) [] C. Progler and D. Wheeler, Optical lens specifications from the user s perspective, SPIE vol. 333, 56-68, (1998) [5] R. Schenker, Effects of phase masks on across field linewidth control, SPIE vol. 3679, 18-6, (1999) [6] J. Bischoff et. al., Simulations on step & scan optical lithography, SPIE vol. 197, , (199) 5 Figure NA Cd percentage change for the dense line cases showing fully polarized and 1% CD limits. 6. CONCLUSIONS Lithography into the new millennium will be challenging. Imaging using k 1 <.5 will be commonplace in manufacturing environments. This will require due diligence on all effects that stress the integrity of the aerial image and impact contrast. As we use more and more phase masks and imaging technology that will demand small coherence levels, we may have to deal with aberration specifications <.λ. This will necessitate newer metrology technologies for the lens manufacturer. Vibration effects have the potential to lower the overall process window as we drive the contrast and linewidths to smaller regimes. Finally, high NA polarization effects will result in extremely tight specifications on illumination polarization on future tools. This will influence the complexity of illuminators and lenses for high NA systems. 1

15 5 911

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV De

More information

( ) Deriving the Lens Transmittance Function. Thin lens transmission is given by a phase with unit magnitude.

( ) Deriving the Lens Transmittance Function. Thin lens transmission is given by a phase with unit magnitude. Deriving the Lens Transmittance Function Thin lens transmission is given by a phase with unit magnitude. t(x, y) = exp[ jk o ]exp[ jk(n 1) (x, y) ] Find the thickness function for left half of the lens

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 8-8-1993 Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography Bruce W. Smith Rochester Institute

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

APPLICATION NOTE

APPLICATION NOTE THE PHYSICS BEHIND TAG OPTICS TECHNOLOGY AND THE MECHANISM OF ACTION OF APPLICATION NOTE 12-001 USING SOUND TO SHAPE LIGHT Page 1 of 6 Tutorial on How the TAG Lens Works This brief tutorial explains the

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

CODE V Tolerancing: A Key to Product Cost Reduction

CODE V Tolerancing: A Key to Product Cost Reduction CODE V Tolerancing: A Key to Product Cost Reduction A critical step in the design of an optical system destined to be manufactured is to define a fabrication and assembly tolerance budget and to accurately

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information