ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Size: px
Start display at page:

Download "ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY"

Transcription

1 ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D Oberkochen, Germany; b ASM Lithography, De Run 1110, LA Veldhoven, The Netherlands; c ASM Lithography, 8555 S. River Parkway, Tempe AZ, U.S.A. This paper was first presented at the SPIE The 25th Annual International Symposium on Microlithography February 27-March 3, 2000 Santa Clara, CA, U.S.A.

2

3 ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D Oberkochen, Germany; b ASM Lithography, De Run 1110, LA Veldhoven, The Netherlands; c ASM Lithography, 8555 S. River Parkway, Tempe AZ, U.S.A. ABSTRACT This paper discusses the technological consequences of extending optical lithography down to 50 nm. A total systems approach is used to define the overall error budgets on CD and overlay. The feasibility of extremely high NA optics is studied. With extremely high-na optics, Depth of Focus (DoF) decreases and focus control becomes more critical. Using a Step & Scan system, the potential of system dynamics is evaluated. Mask issues are briefly reviewed. Experimental data on field extension with butting is included. As well as being technically feasible, the lithography step for the future technology nodes must be cost effective. It is concluded that optical extension should preferably be supported by reduced field (<22mm), large magnification (>5x) scanners. The transition to Next Generation Lithography (NGL) will be pushed to at least the 50 nm node. 1. INTRODUCTION This paper presents a vision of how optics-based exposure tools will look, when the transition to NGL techniques finally happens. The ongoing acceleration of the semiconductor roadmap forces the industry to extend optical lithography much further than ever expected. Including 157 nm wavelength radiation, it is commonly accepted today that optical lithography will enable manufacturing at the 70 nm node. Translating the semiconductor roadmap into an exposure tool roadmap, it becomes apparent that new wavelengths and extremely high-na optics will be needed. To assure sufficient process latitude, resolution enhancement methods will be implemented in volume manufacturing. As well as advanced mask technology, layer-tailored illumination schemes and processes will be used. With the use of phase shift masks, the wavefront aberrations become increasingly important in both the CD and overlay budgets. With optics exceeding 0.8 NA, polarization effects on both the reticle and the resist film must be critically reviewed. To control the polarization level, and to support the pupil illumination schemes, advanced illumination technology is needed. The paper is organized as follows: - Section 2 focuses on the basic requirements for wavelength reduction, high-na optics and low-k 1 imaging. - Section 3 assesses the technology needed for these requirements and options - Section 4 summarizes and discusses the findings of Sections 2 and 3 - Section 5 is the Conclusion Please note that the lens and machine performance requirements are discussed in detail in an accompanying paper. [1] k 1 -factor NA (248) k 1 (248) 0.2 NA (193) k 1 (193) NA (157) k (157) NA (126) k 1 (126) resolution node (nm) I ILL numerical aperture NA To summarize the choice is not whether to use extreme NA, low k 1 or lower wavelength, but rather to use all these features. This is summed up in Figure 1, showing the various applicable wavelengths, the exposure-tool NA and the k 1 that will be attached to the desired resolution node. Figure 1: Exposure tool NA and k 1 for resolution nodes from 250 nm down to 50 nm. The wavelength is indicated by the shape of the data points. 1

4 2. EXTENDING OPTICAL LITHOGRAPHY Figure 2 shows the major building blocks of the roadmap as discussed in the introduction. To reach the 50 nm node, wavelength, NA and k-factors have to be driven to the limits simultaneously. Wavelength Resolution = k1 Numerical Aperture /NA Low-k1 I ILL New lasers, optical design concepts, materials, coatings and resist will have to be developed to reduce the wavelength. The numerical aperture leads to a reduced DoF, thus even tighter focus budgets than today. Extremely high-na optics impose major challenges on aberration control for lens design and large lens elements must be introduced. The low-k 1 imaging needs layer-tailored illumination schemes, feature-specific mask layout (OPC), thin-film imaging and extremely tight aberration control. In the following sections, we discuss the requirements driven by the three arms of the diagram; wavelength, NA and low k 1. Laser Optics Design Materials/ Coatings Purging Resist Focus Budget Lens Element Size Design Illumination Mask Process Aberrations 2.1 Wavelength Reduction We have summarized in Table 1 the issues and requirements of extending lithography by wavelength. Down to 157 nm, lasers will be available as well as optics designs and materials for building the lenses. 248 nm resist has allowed the resolution to be initially scaled down from 0.25 µm to below 0.13 µm. 193 nm resists are rapidly improving at present. High-contrast resists for 0.13 µm resolution volume production are within reach, however, production-worthy 0.10 µm resists need to be proven. For 157 nm resist, absorption is a challenge. Regarding mask technology, the attenuated phase shift material for 193 nm and 157 nm especially needs to be developed. At 157 nm, the pellicle and contamination issues have to be solved. Figure 2: Rayleigh diagram shows the resolution limit and the issues involved. From 157 nm and below purging of the optical paths will be required. Extending optical lithography further to 126 nm does not seem very likely. With pure mirror designs (catoptric) as applied, for example, in EUVL it seems unlikely that the necessary NA level of e.g. > 0.7, as shown in Figure 1, will ever be reached. In order to make 126 nm an option, only catadioptric designs seem applicable. MgF 2 and LiF are the only candidates for a refractive material. MgF 2 is birefringent in the level of For LiF there is no data on laser hardness and the feasibility to grow large crystals. In addition to the optics, mask and resist materials must be developed. Further, the feasibility of a 126 nm laser source Laser Optics Resist Mask Technology System Issues 248 nm KrF laser available high-na optics with fused silica high-na optics with fused silica and calcium fluoride supports evolution to <130 nm workable 193 nm ArF laser available contrast, production worthiness need material for attpsm 157 nm F 2 laser under development high-na optics with calcium fluoride and possibly alternative fluorides catoptric has limited NA, catadioptric needs lens material high absorption modified fused silica substrate, develop pellicle purging, contamination 126 nm no laser to be developed no material (?), reflective masks purging with He Table 1: The issues concerning wavelength reduction. 2

5 must be shown. Although it cannot be said that 126 nm can never be achieved, it does not appear to be possible either 2.2 High-NA Optics Design This section begins with an overview of the preferred lens design options and issues for the next steps for optical extension. Refractive designs have been greatly improved in terms of NA and residual aberrations over the last decade. 248 nm is used as a starting point. The bandwidth of line-narrowed KrF lasers allows refractive optics to be made of a single material, namely fused silica, only. 193 nm refractive designs need CaF 2 material in order to achromatise the lenses to today s state-of-the-art lasers which have a bandwidth of < 0.5 pm. Currently, the most likely solution for 157 nm is a catadioptric design (preferably without beam splitter and without obscuration as well). The ongoing use of refractive designs might again have a chance with a CaF 2 /BaF 2 or CaF 2 /NaF combination. This however depends not only on the availability of the crystals but also on the minimum laser bandwidths that might be achieved in the timeframe of 157 nm production tools. The challenge for catadioptric designs without beam splitter is either a central obscuration or an off-axis field. Off-axis fields would lead to changes in the exposure tool layout as well as new calibration and optimization strategies. Note that all design types have to be extendible to NA>0.8 in order to support at least the 70 nm node with optical lithography. With increasing NA, mirrors have the undesired tendency to obscure light that should reach the wafer. Besides the challenge to correct for higher aberrations, the geometrical boundary conditions imposed by mirror optics are an additional challenge for lens design. This is one of the reasons why refractive designs are a better choice than catadioptric solutions. At this point, it is worthwhile to discuss the NA and wavelength dependency of the laser bandwidth. To connect with today s scanners a slit height of 26 mm is assumed. For 248 nm we use an all-fused-silica refractive design and, for 193 nm, a typical achromatization with CaF 2. For 157 nm the bandwidth of state of the art single-line lasers is about 1 pm. This is consistent with the catadioptric design solutions as discussed above. For refractive solutions, much still depends on material dispersion (which is still not known exactly for all crystal types) and the design layout. For the existing 1 pm single-line 157 nm lasers, refractive designs with a CaF 2 /BaF 2 combination exist. However the large material volume needed in order to build these lenses makes this solution only feasible in case of a reduced (< 22 mm) slit height. In order to reduce material volume to a realistic level, a laser bandwidth < 0.5 pm will be needed. It should be noted that in any case the diameter of the lens image-field height (by track length and thus optical path) affects the laser bandwidth in a linear fashion. Thus, a reduction in field size will help to relax laser bandwidth requirements and thus laser cost. This argument is in favour of scanners since here the lens field is significantly smaller than the stepper field. Figure 3(b) charts the relative optics technology effort as a function of NA, independent of wavelength-specific technology. To a first-order approximation, lens element diameters are proportional to the Tangens of the aperture angle (again for the 26 mm slit height). Material volume follows the second order of this, when it is assumed that the Preferred High NA-Design Option Coatings Materials Laser System Integration 248 nm Refractive evolution 193 nm 157 nm Table 2: Refractive Beam splitter, Catadioptric w/o beam splitter Refractive development for higher NA additional HR coating development AR coatings under development Preferred design options for 248 nm, 193 nm and 157 nm. fused silica available, larger blank sizes needed fused silica available, larger blank sizes needed, CaF 2 volume and quality CaF 2 volume and quality 2nd material for color correction 0.5 pm to 0.3 pm bandwidth 0.5 pm to 0.3 pm under development >1 pm bandwidth available <1pm bandwidth under development standard standard solution with either off-axis field or obscuration standard 3

6 bandwidth (pm) nm 193nm I ILL optics technology effort I ILL numerical aperture (NA) numerical aperture (NA) (a) (b) Figure 3: (a) Laser bandwidth vs NA for 248 nm and 193 nm refractive designs and (b) Optics technology effort vs NA track length of the lens stays relatively constant summarizing design innovations, technology advances and continuous improvement processes. Note that the reduction to a 22 mm wide field will, by scaling, reduce lens material at least by the diagonal of the field squared. Theoretically, the amount of lens material follows the third power of the field diagonal. For practical designs, mechanical boundary conditions lead to a somewhat smaller sensitivity. Thus, one calculates that a 22 mm square field stepper needs about twice as much material as a scanner with 22 mm slit height. 2.3 Low-k 1 Imaging Low k 1 -imaging only works when mask layout, illumination condition and resist process are optimized simultaneously. With alternating phase shift masks entering the scene, chip design rules will have to be integrated into this global optimization strategy. Considering the overwhelming cost of NGL techniques, low k 1 imaging may be explored as a comparatively low-cost application (even if it is common knowledge that mask costs go up and double exposure will lead to reduced throughput). Table 3 below summarizes the commonly accepted set of low k 1 imaging optimization strategies. k 1 -factor >0.5 ~ ~ < 0.35 Mask Illum Mask Illum Mask Illum Mask Illum Memory binary Conventional half tone annular half tone QUASAR hard PSM coherent binary Annular binary annular half tone annular Logic binary Conventional Table 3: binary assist features binary annular conventional Binary assist features halftone assist features QUASAR halftone assist features QUASAR QUASAR hard PSM coherent Imaging optimization strategies for low-k 1 imaging. Note that the k 1 -boundaries are softer than the table might suggest. Where possible, two solutions are given. 4

7 Exposure latitude for dense and isolated lines depends significantly on the distribution of the diffracted light in the entrance pupil of the lens. In the range of k 1 factors between the theoretical limit of 0.25 and 0.35 the interfering rays are roughly located on opposite sides of the entrance pupil. This situation is created by either using alternating phase shifting masks or using chrome masks and dipole illumination. [2,3] We give a simple analytical analysis for dense lines realized by alternating phase shift masks. The lens contrast K is given by Equation (1) as written below: K = 100% for 1/4k 1 <1 σ (1a) K = (1-1/4k 1 )/(1/2+σ/2-1/8k 1 ) * 100% for 1 σ <1/4k 1 <1( (1b) K = 0% for 4k 1 <1 (1c) To arrive at a readable formula, we made a 1st order approximation with square illumination poles (surface 4σ 2 ) penetrating the NA boundary, which was assumed to be a straight line (it is easier to cut rectangles than to cut circles). For a PSM situation the minimum resolution CD min is given by k 1 =1/4. Figure 4 shows the light distribution in the pupil for a low σ that is close to minimum resolution. Figure 4: 1/4k 1 Pupil diagram showing the intensity distribution for phase-shift imaging at k 1 close to The pupil radius (NA) is set to unity. As can be seen in Figure 5, if one approaches the 0.25 limit for the k 1 factor, small σ settings become extremely helpful. Although the theoretical resolution limit does not I ILL depend on σ, the minimum resolution that gives a certain level of contrast (exposure latitude) may be reduced by decreasing the coherence. exposure latitude Figure 5: 10 s=0.1 5 s=0.2 s= k 1 -factor Exposure latitude for different s in the approximation of formula (1). In order to arrive at exposure latitude the contrast was scaled with 0.3, which is a rule of thumb supported by today s DUV experience. [2] Let us discuss the relation between two-beam imaging and polarization effects. The light reaches the wafer only from the outer regions of the pupil. The angle between the interfering rays is thus about 2arcsin(NA/n) with n being the refractive index of the resist. For numerical apertures exceeding 0.7 and k-factors around 0.3 polarization effects at image recombination in the aerial image will play a major role. At first dose effects must be controlled. Depending on whether the light is polarized in or out of the plane of the incoming rays, the Fresnel equations predict dose differences in the order of >20%. In order to limit HV differences the residual polarization should be below 10%. [1] Thus, in addition to intensity uniformity, polarization uniformity must be established. The second effect is that light being polarized in the plane of the incoming rays does not fully interfere anymore (the extreme case of a 90 angle is prevented in the resist for typical refractive indices). In order to optimize the contrast the polarization vectors should be orthogonal to the plane of the incoming rays. For dipole illumination using double exposure this may be easily realized by using linear polarization parallel to the mask features for each of the two masks. In the following we review illumination requirements. A summary is given in Table 4 below. Referring back to Figure 5, an insight into the additional requirements that come from the dipole and PSM exposure conditions can be seen. As shown the exposure latitude depends I ILL 5

8 strongly on the actual coherence (σ). This, at first, means that the absolute accuracy of s has to come down well below This, in turn, leads to the requirement, that the across-field variation of σ has to be controlled extremely well. However, the across field variation of s will be more difficult to reach because of the small poles. In order to preserve high machine throughput, it is clear that the small poles cannot be realized by aperture stops in the illuminator, but by actively distributing the light, for example, by the use of customized diffractive optical elements Energy Lens rms Reticle CD CD Budget I ILL Focus Leveling Lens Focal Plane The pole-balance error goes into the telecentricity budget at wafer level. The intensity imbalance between the opposite sides of the pupil, lead to a telecentricity error. Imaging simulations show that for high-na, low k 1 imaging the pole-balance error should be limited to below 2%. Specification σ-stability across field < 0.01 pole balance < 2% residual polarization < 10% Table 4: Illuminator requirements for 2-beam interference. 3. TECHNOLOGY SUPPORTING THE OPTICAL EXTENSION 3.1 Introduction The section discusses the technical efforts to support the optical extension strategy. We will link the formal solutions of the extension path with CD and overlay performance requirements as given by the ITRS. [4] CD and overlay budgets are shown schematically by the simplified budget trees below. Note that the energy budget is an extended dose concept where all contributions to CD-error in best focus are summarized. Higher order lens aberrations are grouped by focus (even aberrations) and overlay (odd aberrations). For a first estimate one may assume that all sub-budgets will scale down with resolution. 3.2 Optics Technology The imaging requirements of the technology nodes must be broken down to the level of optical component specifications. As a first step, the dependence of CD-uniformity and overlay on lens aberrations must be determined. The absolute sensitivity depends on feature type, mask and illumination. For example, in a k 1 =0.3 process with 5% CD-uniformity due to lens aberrations, a wavefront rms of 0.020λ is needed. Regarding overlay the most stringent requirements come from Figure 6: Dose MSD Overlay Budget Aligner Reticle Lens (a) CD budget (b) overlay budget Even Aberrations Distortion Odd Aberrations printing isolated lines with alternating phase shift masks. The reason is that 2-beam imaging which uses a small σ is extremely sensitive to odd aberrations. To minimize pattern shift, both lens distortion and pattern-specific specification of single odd Zernike coefficients will be necessary. A detailed discussion on the imaging requirements of future exposure systems is given by Flagello et. al. in this volume. [1] For all types of lens aberrations an error budget must be broken down and component specifications must be calculated. The lens error budget consists of 6 major parts, as shown in Figure 7. One part comes from residual design aberrations. A major part is the component errors that arise from surface figure and homogeneity contributions. Here it must include only the residual errors after optimization in the budget, because these errors are optimized by adjusting and compensation. The same is true for mounting-induced lens deformations and lens element tilt and decenters. I ILL 6

9 Total Lens Error Budget I ILL Design Component Errors Assembly Lifetime Errors Lens Heating Environmental Errors Homogeneity of Material Lens Elements Surface Figure Mounting Induced Lens Deformation Decenters Tilts Material Absorption Coating Absorption p T ( ) Figure 7: Top level error budget The next part is made up of lifetime (compaction, induced absorption) and lens heating errors. Lens heating arises from the light absorbed either in the coating or in the bulk material. The last part is the so-called environmental errors. These errors include variations in ambient pressure and temperature. In the budget we only include the residual errors after optimizing the lens with a specific set of lens actuators. In the environmental errors we also include the residual errors after adjusting the lens magnification. The optics technology roadmaps are driven by (a) the requirements that come from imaging, overlay and throughput and (b) the increase in lens NA in order to support future resolution requirements. The core competencies in building high-na optical systems may be described along the process chain, with incoming materials, polishing and coating of the lens elements, mounting, assembly, adjustment and metrology capabilities. In order to take care of the requirements for 2-beam imaging (dipole and PSM), coma and all odd aberrations must be controlled extremely well. Odd aberrations come from homogeneity and surface errors and from tilt and decenter errors in the lens at assembly. The difficulty in reaching these specifications increase along with NA, because the sensitivity of the higher-order odd aberrations to lens element tilts and decenters increases. In order to limit these errors, all mounting and assembly processes have tolerances in the sub-µm regime and thus push mechanical fabrication to the limits. Figure 8 shows the improvement roadmap of surface figure on fused silica. The years given in the time scale refer to system delivery and thus mark the time from the first 248 nm volume production tools to the introduction Technology fused silica calcium fluoride Polishing Coating Mounting assembly and adjustment Metrology Table 5: Imaging, Overlay, Throughput homogeneity transmission induced effects homogeneity internal quality continuous quality improvement (surface figure) transmission absorption tilt and decenter requirements improved environmental control advanced optimization strategies improve accuracy for 100 nm node blank size metrology blank size metrology metrology of 193 nm production tools. In order to support future low k 1 imaging requirements the pace of the improvements must be maintained. Figure 9 shows how improvements of the optimization process lead to significant reduction of the wavefront rms as a function of the field position for a 0.7 NA KrF lens. The across field maximum of the rms was improved from 6.2 nm to 4.6 nm. NA high incidence angles (i max ) broad bandwidth ( i) polarization effects tilt and decenter requirements Table 5: Overview of high-na technical challenges 7

10 surface figure a.u system delivery Figure 8: standard Figure 9: Improvement of critical surface figure on fused silica. Data scaled to fused silica performance in improved Interferometer data showing the reduction of across-field wavefront rms after advanced optimization. Wavefront levels < 0.020λ are necessary in order to support 0.30<k 1 <0.40 range. [1] In addition to rms, single Zernike coefficients need to be controlled extremely well. 3.3 Machine Technology rms (nm) 6 Extending optical lithography with high-na optics and decreasing wavelengths also sets challenging requirements on the Step & Scan system performance. Focus and machine dynamics are seen as especially critical. Other items are related to the implementation of 157 nm, which requires a completely N 2 purged optical path, and implementation of low k 1 imaging schemes like multiple exposures I ILL I ILL Focus and MSD DoF is one of the most obvious issues related to high-na imaging. The traditional k 2 DoF scaling law, derived for dense lines and three beam imaging, leads to extremely low DoF for high-na optics. On the other hand, low k 1 imaging enhancements offer a possible increase in focus latitude. An example of this, using assist features, can be found in Ref. [2]. As a consequence, it is difficult to predict what the exact workable DoF will be at a certain technology level. Figure 10 shows a tentative DoF-roadmap (isolated line), based on assumptions of applicable wavelength, NA and enhancements per node. Both, the introduction of new wavelength technology and the use of imaging enhancements is assumed to be conservative, thus making the DoF numbers represent a worst-case scenario. As a reference the ITRS UDoF numbers are included. [4] DoF (nm) Figure 10: KrF ArF node (nm) DOF roadmap for isolated lines Roadmap NA-scaled Referring to the focus budget diagram in Figure 11, improvements are needed to lower the effects of the optics, the leveling and focus calibration components. Lens-induced focus errors include focal plane deviation (FPD) and high-order even-aberrations, leading to additional focal plane deviation varying with NA and σ settings. Additionally lens drift contributions caused by environmental changes (like ambient pressure) or radiation-induced effects (like lens heating), must be accounted for in the system metrology concept. The leveling concept interacts with user-related variations like wafer topology and reticle unflatness. Although both are expected to follow the focus roadmap as well, advancements in Step & Scan system technologies might alleviate the impact. As an example, reticle unflatness information can be partly corrected for by adjusting the reticle stage height and tilt position during a scan. Wafer topography not only directly consumes focus budget but also induces fading. In Figure 12 it can be seen that, during a scanning exposure, the wafer is leveled and focused depending on the actual wafer topography information in the exposed slit area. The focus loss due F2 I ILL 8

11 to topography is expressed as Moving Average (MA). Topography also reduces image contrast because of fading. Fading, quantified with Moving Standard Deviation (MSD) originates from local fluctuations of the wafer surface on a scale smaller than the slit width. If the wafer topography as a spatial frequency power spectrum is described, then MA(z) and MSD(z) can be calculated as a function of this spatial frequency. Focus Budget Aerial Image Levelling Calibration I ILL worst case focus (nm) slit height (mm) MA MSD I ILL Focal Plane Deviation Even Higher Order Aberrations Lens Drift Wafer Topology Wafer Clamping Level Sensor Best Focus Process Offsets Figure 13: Worst case MA(z) and MSD(z) as a function of the slit dimension for a critical topography wafer. Image contrast is also degraded because of stage synchronization errors. These errors, quantified by MSD (xy), should scale with CD. To support sub-100 nm resolution a new vibration isolation concept is implemented in the new Step & Scan platform Multiple Exposure Reticle Surface Figure 11: Figure 12: Focus budget α slit area focus error Impact of wafer topography on MA and MSD focus behavior. Relative to a square stepper field, the topography-induced focus performance is strongly improved because of the Step & Scan on-the-fly leveling principle. Figure 13 makes clear that a further reduction of the field size in the non-scanning direction could improve the MA and MSD focus behavior even more. A reduction of the slit height of about 25% already decreases MSD by about the same relative number. The relative improvement in MA is somewhat less. Although the behavior on CMP wafers is better than the data given by this case study, the use of reduced slit sizes supports the high NA implementation. I ILL Double exposure technology, either using c:psm [3] or dual illumination, is expected for the extremely low k 1 applications. The Step & Scan machine must support this enhancement method, both in throughput as well as accuracy. Image placement errors of the sub-images are regarded as critical. They can be optics-induced as well as machine-induced. Another possible application for multiple exposure schemes can be found in so-called image field extensions. One might consider reduced field optics, especially for the hyper-na optics where costs and focus budget become critical. For large chip sizes however, fields then need to be enlarged, either using a two-mask field-stitching approach or using a raster scan approach. Some simple dual exposure experiments are performed to evaluate current sensitivity for placement errors. As shown in Figure 14, 0.30 µm lines were exposed as the first layer and, subsequently, 0.15 µm lines as the second layer. Both line sizes have been butted together resulting in a fork-shaped pattern extension. The 0.30 µm lines were printed with conventional illumination and the 0.15 µm lines were printed with annular illumination. Line placement errors in this experiment can come from the odd aberrations in combination with stage positioning errors. In Figure 14 the measured pattern shift as a function of the slit height is given. The maximum observed line shift is 6 nm, which is well below the allowed 9

12 value of 10 nm in a field stitching budget for 150 nm lines. A dedicated machine design for stitching should make seamless stitching possible. 3.4 Mask Performance Low k 1 imaging imposes stringent requirements on mask technology. While supporting the resolution roadmap, additional sub-resolution features (serifs, assist lines etc.) are used in order to preserve depth of focus and exposure latitude requirements. Given below are the requirements for the 100 nm and 70 nm node, with remarks on the feasibility. Compared to today s best performance data, it is believed that improving the mask parameters by more than 50% will be extremely difficult. CD uniformity is seen as especially critical. A change of reduction ratio to 5X or even 6X would significantly relieve the problem. We foresee that this change will be needed for the 70 nm node. pattern shift (nm) slit position (mm) Figure 14: Critical pattern extension experiment with double exposure. Pattern shift for 0.15 µm dense lines relative to 0.30 µm dense lines, obtained with a double exposure using a annular and conventional illumination setting respectively. I ILL Minimum feature mask Image placement wafer CD mask Best today 100 nm node 70 nm node 50 nm node ~ 250 nm -20% -45% -60% 4X okay -30% -50% 5X okay -15% -40% 6X ~ 25 nm -20% -45% -60% 4X ~ 10 nm okay -25% -45% 5X okay -15% -40% 6X -20% -50% -70% 4X okay -40% -60% 5X okay -30% -55% 6X critical MEF Table 6: Necessary relative improvements of the critical mask performance parameter for different reduction ratio scenario. [5] 10

13 4. Discussion Combining the data of this paper the most likely lithography roadmap will be: 70 nm, the last fully optical node: nm and 157 nm as wavelength - Possible double exposure (c:psm for logic and dipole illumination for DRAM) - Lens numerical apertures of around 0.8 for 193 nm give a k 1 of Efforts are needed to make a production-worthy resist for 157 nm at the 70 nm node - CaF 2 must be ramped up to mass production - Considering both mask issues and necessary field sizes, a 5X reduction ratio is recommended, resulting in a 22 mm x 26 mm maximum exposure field. 50 nm, may be supported optically, however, there are competing NGL options (e.g. EUVL): nm as wavelength - Phase-shift two-beam technology (imaging at k 1 <0.3) - Low σ (<0.2) illumination with tight polarization control - Hyper NAs of close to Extreme sensitivity to all kinds of lens aberrations must be accounted for in lens design and manufacturing. At 50 nm the reduction in DoF and the increased complexity in lens technology may enforce a further reduction of field size, this, possibly, in combination with higher reduction ratio (6X). The latter is necessary to alleviate the pressure on the mask CD requirements, which become increasingly difficult because of the high MEF. Depending on the performance of the by-then-emerged EUV β-systems, EUVL might be inserted in that time frame as well. With the current issues, we do not see further extension of optical lithography below 50 nm. 126 nm lacks infrastructure on all the important sub-systems (laser, materials, resists, masks). In this case, EUVL will completely take over. We should not forget that the semiconductor industry is not only technology driven. It is also the most cost-effective technology that will be used for IC production. Manufacturing cost will increase per technology node. To minimize the cost increase, both in exposure tools and masks, one should consider a paradigm shift in chip size developments and reduction ratio. In accordance with the 1999 edition of the roadmap, 22 mm x 22 mm field size machines are suitable for both DRAM and Logic manufacturing. For larger chip sizes (e.g. SoC) one should consider stitching. It seems obvious that a 22 mm slit combined with 5X reduction is a better choice than today s standard of 26 mm slit and 4X reduction. 5. CONCLUSION We conclude that optical lithography is able to go well into the 70 nm node, and, for most applications, can be extended to 50 nm as well. The latter step requires 157 nm with extreme numerical apertures, phase shifting masks or dipole exposure. Using optics at the 50 nm node is technologically challenging. In order to be cost-effective, optimize yield and reduce the technical risks of very high NA lenses, field sizes of 22 mm or smaller and reduction ratios of 5X or larger are recommended. ACKNOWLEDGEMENTS The authors would like to thank Karl-Heinz Schuster, Daniel Krähmer, Willy Ulrich, Christian Hembd-Söllner, Reiner Garreis, Gerd Reisinger, Karlfrid Osterried, Uwe Mickan, Jan Baselmans, Pete Jenkins, Jo Finders, Marc Boonman, Denis Faas, Tammo Uitterdijk, Will Pijnenburg, and Judon Stoeldraijer for inputs and discussions on low-k 1 -imaging technology. We would also like to thank Vivian Kim, Jon Beckton, Marcel van Dijk and Lies Lisens for their help in preparing the manuscript. REFERENCES [1] D.G. Flagello et. al., Optical Lithography into the millennium: sensitivity to aberrations, vibration and polarization, SPIE Vol [2] Jo Finders et. al, DUV Lithography (KrF) for 130 nm using off-axis illumination and assisting features, Semicon Japan [3] Michael E Kling et al., Practicing extension of 248 DUV optical lithography using trim-mask PSM, SPIE Vol [4] International Technology Roadmap for Semiconductors, 1999 Edition, Lithography. [5] J. Mulkens et. al., Challenges and Opportunities for 157nm Mask Technology, SPIE Vol

14

15

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV De

More information

Optical Design Forms for DUV&VUV Microlithographic Processes

Optical Design Forms for DUV&VUV Microlithographic Processes Optical Design Forms for DUV&VUV Microlithographic Processes James Webb, Julie Bentley, Paul Michaloski, Anthony Phillips, Ted Tienvieri Tropel Corporation, 60 O Connor Road, Fairport, NY 14450 USA, jwebb@tropel.com

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

Lithography Smash Sensor Objective Product Requirements Document

Lithography Smash Sensor Objective Product Requirements Document Lithography Smash Sensor Objective Product Requirements Document Zhaoyu Nie (Project Manager) Zichan Wang (Customer Liaison) Yunqi Li (Document) Customer: Hong Ye (ASML) Faculty Advisor: Julie Bentley

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Performance Factors. Technical Assistance. Fundamental Optics

Performance Factors.   Technical Assistance. Fundamental Optics Performance Factors After paraxial formulas have been used to select values for component focal length(s) and diameter(s), the final step is to select actual lenses. As in any engineering problem, this

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad.

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER III PAPER III OPTICS UNIT I: 1. MATRIX METHODS IN PARAXIAL OPTICS 2. ABERATIONS UNIT II

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces James T. McCann OFC - Diamond Turning Division 69T Island Street, Keene New Hampshire

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Industrial quality control HASO for ensuring the quality of NIR optical components

Industrial quality control HASO for ensuring the quality of NIR optical components Industrial quality control HASO for ensuring the quality of NIR optical components In the sector of industrial detection, the ability to massproduce reliable, high-quality optical components is synonymous

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Optical Waveguide Types

Optical Waveguide Types 8 Refractive Micro Optics Optical Waveguide Types There are two main types of optical waveguide structures: the step index and the graded index. In a step-index waveguide, the interface between the core

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT

CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT In this chapter, the experimental results for fine-tuning of the laser wavelength with an intracavity liquid crystal element

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

PREPARED BY: I. Miller DATE: 2004 May 23 CO-OWNERS REVISED DATE OF ISSUE/CHANGED PAGES

PREPARED BY: I. Miller DATE: 2004 May 23 CO-OWNERS REVISED DATE OF ISSUE/CHANGED PAGES Page 1 of 34 LIGHTMACHINERY TEST REPORT LQT 30.11-3 TITLE: HMI Michelson Interferometer Test Report Serial Number 3 wide band FSR INSTRUCTION OWNER HMI Project Manager PREPARED BY: I. Miller DATE: 2004

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information