immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Size: px
Start display at page:

Download "immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk"

Transcription

1 immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments, however, indicate that optical lithography is more alive than ever. Immersion lithography has emerged as the potential technology for extending optical lithography. As discussed in the Fall 23 edition of Images, immersion lithography offers two key benefits. First, it enables a significantly larger Depth Of Focus (DOF) using existing sub-1.-na lenses. Second, immersion lithography enables the use of lenses that have Numerical Apertures (NAs) larger than 1.. Immersion, in principle, is not a new technique. Its viability for microlithography, however, has become a practical consideration because of advances made in lens manufacturing technology, especially aspherical surface figuring. For 193-nm lithography, water proves to be a suitable immersion fluid. The refractive index for water is 1.43, which makes lens NAs above 1.2 feasible. Immersion offers the potential to extend conventional optical lithography to the 45-nm node and potentially to the 32-nm node. Figure 1 Height Process Dependency (HPD) of an optical sensor operating in a water and air environment measuring different substrate compositions Resist Thickness [nm] Water Air Metal Density Variations In H 2 Oxide Thickness Variations 1% Si % Metal 4% Si 6% Metal 2% Si % Metal 4% Si 6% Metal HPD [nm] Figure 2 Schematic drawing of the TWINSCAN system modifications for immersion lithography. Layout Use Existing Level Sensor In Air Use Existing Alignment Sensor In Air Integrate Liquid Supply System Temperature Degassing Purification Liquid Containment Integrate - Chuck Swap Solution TWINSCAN System Modifications for Immersion Lithography ASML s journey toward immersion began with a modified TWINSCAN AT:115 system. The AT:115 combines the proven power and high productivity of the TWINSCAN dual-stage system with the fluid handling and containment solutions that are specific to immersion lithography. Additionally, we modified the.75-na Starlith 115 projection lens by changing the last lens element and adjusting the space between the other lens elements. We based the fluid containment solution on the shower configuration. The dual-stage design of the TWINSCAN platform enables the use of proven off-axis leveling and alignment metrology in dry conditions. If we could not measure in dry conditions, the water on the resistcoated wafer would make the focus measurement with optical focus sensors more sensitive to resist thickness variation (Figure 1). With the dual-stage design, however, we can limit the use of the immersion fluid to the expose area only. Figure 2 shows a schematic overview of the TWINSCAN system modifications for immersion lithography. Table 1 gives the main specifications of the prototype TWINSCAN ArF immersion system. Modified Lens Wafer Stage Sealing and Flattening -image Sensor 8 ASML Images Summer 24

2 Table 1 Description Immersion Fluid Specification DI Water Lens NA Illumination Sigma Range Illumination Modes Conventional, Annular, QUASAR Field Size Scan Speed 26 mm x 33 mm 36 mm/s The Hydraulic Image systems use an image sensor to characterize the aerial image of, for instance, isolated lines. The prototype immersion system uses a modified version of such an image sensor to characterize the image in water, i.e., the hydraulic image. We present in Figure 3 the first measurement of a hydraulic image of a 25-nm isolated line, and we compare the result with similar measurements done on a dry TWINSCAN AT:115 system. The exposures settings are NA=.75 and σ=.85/.55. Step Speed Wafer Size Resolution Figure 3 9 mm/s 3 mm 9 nm The results demonstrate a strong enhancement of the DOF. The increase in DOF is the same factor of 1.6 as predicted by simulation. The symmetry of the hydraulic image further confirms that the lens aberrations are well under control and that the immersion fluid does not introduce coma or spherical aberration. (top) and hydraulic image (bottom) as seen by a transmission image sensor. Immersion Photoresist Processing WS Z position [m] AIX Within ASML, photoresist processing is performed on a stand-alone track (FSI POLARIS 35). We usually use a protective topcoat to prevent T-topping caused by airborne amine contamination. In dry systems, we use a water-soluble topcoat, but in a water immersion scanner we cannot use this type of topcoat. For immersion exposures, we use a non water-soluble topcoat. The photoresist is the same for both the dry and immersion processes. Table 2 (pg. 1) summarizes the process conditions that we used for all tests discussed in this section. WS Z position [m] WS X position [m] AIX Imaging Results for 9-nm Node Features With a maximum NA of.75, the resolution capability of the prototype TWINSCAN ArF immersion system can support 9-nm node features. We examined the imaging performance of lines and spaces and contact holes. Using phase shift mask technology, we also investigated the resolution limit. We compare the imaging data obtained on the prototype TWINSCAN ArF immersion system with the same system when it was dry (before modification for immersion lithography) WS X position [m] ASML Images Summer 24 9

3 (Continued) Table 2 Process Descriptions. Description Conditions Process Condition A B(B 1 ) C Structure Type Lines and Spaces Isolated Lines Contact Holes Photoresist TOK TArF p611 TOK TArF P568 TOK TArF P747 Thickness 225 nm 225 nm (175 nm) 3 nm BARC ARC 28 ARC 28 ARC 28 Topcoat AZ Aquatar -VI AZ Aquatar - VI AZ Aquatar - VI Topcoat TOK TSP - 3A TOK TSP - 3A TOK TSP - 3A Softbake Temperature 126 C 12 C 12 C Softbake Time 9 s 9 s 9 s PEB Temperature 13 C 13 C 11 C PEB Time 9 s 9 s 9 s Surfacinated Rinse OptiPattern Developer OPD-5262 OPD-5262 OPD-5262 Development Time 6 s 6 s 6 s Dense 1:1 Lines and Spaces Using process condition A, we evaluated 9-nm 1:1 lines and spaces at an NA=.75 and σ=.85/.55 annular illumination. Figures 4 and 6 show the results of the evaluation and comparison between 5-mm/s and 36-mm/s scanspeeds. The results demonstrate a large gain in DOF under immersion conditions. The DOF increases from approximately.6 µm to 1. µm. This factor of 1.67 is close to the theoretical value (1.6). The measured exposure latitude for the immersion exposures is identical to the measured exposure latitude of the dry exposures. This indicates that the contrast level with immersion is comparable to the contrast level in dry systems. Our comparison of high and low scan speeds reveals no significant differences between the two. Figure 4 and wet process windows for 9-nm 1:1 dense lines and spaces. Figure 5 and wet process windows for 9-nm isolated lines Exposure Latitude (%) 6 4 Exposure Latitude (%) Defocus (m) Vertical Horizontal Vertical 5 mm/s Horizontal 5 mm/s Vertical 36 mm/s Horizontal 36 mm/s Defocus (m) Vertical Vertical Horizontal Horizontal 1 ASML Images Summer 24

4 Figure 6 and wet cross-sections for 9-nm 1:1 dense lines and spaces. Focus [µm] Figure 7 and wet cross-sections for 9-nm isolated lines. Focus [µm] ASML Images Summer 24 11

5 (Continued) Isolated Lines without Assisting Features Using process condition B, we evaluated 9-nm isolated lines at NA=.67 and σ=.75/.45 using annular illumination. Figures 5 (pg. 1) and 7 (pg. 11) show the comparison between the results for the immersion and the dry situation. Immersion increases the DOF for the isolated lines considerably from.45 µm to.65 µm. The gain in DOF is approximately a factor of 1.44, which is close the theoretical value (1.55). Furthermore, we found that immersion does not change the exposure latitude. Technology Leadership Immersion lithography offers the potential to extend optical lithography. The dual-stage TWINSCAN platform enables dry metrology and wet exposure. Figure 8 and wet process windows for 115-nm 1:1 dense contact holes. 3 Dense Contact Holes Using process condition C, we evaluated 115-nm 1:1 dense contact holes at NA=.75 and σ=.85/.65 using 3 degrees QUASAR illumination conditions. Figures 8 and 9 show the measured process windows and the top-down SEM pictures over a focus range. The DOF for the dense contact holes increases from.8 µm to 1.4 µm, which is an increase factor of 1.75 (more than the theoretical 1.6) Defocus (m) 2 1 Exposure Latitude (%) Figure 9 Top-view SEM pictures of 115-nm 1:1 dense contact holes. Focus [µm] ASML Images Summer 24

6 Isolated Contact Holes Using process condition C and a six percent attenuated reticle, we evaluated 13-nm isolated contact holes at NA=.63 and σ=.4. Figure 1 shows the results. The DOF increases from.8 mm (dry) to 1.3 mm (wet) which is a factor of 1.62 increase, and beyond the theoretical value based on geometric reasoning (1.5 mm). Summary of Imaging Results In summarizing the results on imaging performance for the 9 nm node, we found that with immersion the prototype TWINSCAN ArF immersion system increases DOF by a factor , depending on the feature size and the exposure conditions. Although the theory predicts a large DOF gain as well, we were unable to obtain an exact match between theoretical and experimental results. In most cases, simulation gives a somewhat smaller gain in DOF. Only in the experiment for isolated lines is there a smaller DOF gain than predicted. Further study is required here. Conclusion ASML has made significant steps in 193-nm immersion lithography development. Our feasibility study on immersion lithography has identified design solutions for the exposure system. A shower-type configuration is preferred over a bath-type configuration because of its lessened impact on the Step & Scan system. At the 193-nm wavelength, water as immersion fluid does not limit the scan speed of the Step & Scan system significantly. Additionally, the (photo-) chemical effects on both the lens and the resist do not represent a major problem. More critical is the effect of the film of water on the optical performance of the system. Temperature control is important for focus stability and aberration stability, and it should be in the tens of mk range. The fluid supply system needs to ensure a bubblefree film. Degassing is required and pressure gradients should be low enough to avoid cavitation. Our resist screening work on the custom-built interference setup showed that existing commercial ArF resists are suitable for water immersion. ASML has built and tested the industry's first full-field Step & Scan immersion system. The dual-stage TWINSCAN system is extremely well suited for immersion because the alignment and leveling functions of the system are separate from the immersion exposure. Our prototype TWINSCAN ArF immersion system images features of 9 nm and smaller and delivers an increase in DOF of more than 1.6. The system can expose edge dies, and intrafield and full-wafer CD uniformity results demonstrate that immersion lithography can deliver the high levels of printing accuracy needed in volume production. The system overlay is within the 35 nm requirement; however, further improvement will be needed. The positive results on the immersion proto machine have resulted in a modification of the lithography roadmap. Immersion lithography will be introduced to enhance the focus margin for 65-nm systems. Subsequently, hyper NA lenses (NA > 1) can be developed to support the 45-nm node using ArF immersion lithography. Figure 1 Top-view SEM pictures of 13-nm isolated contact holes. Focus [µm] ASML Images Summer

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM FOCUS DRY AND EXPOSE WET WITH TWINSCAN HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM ASML is committed to providing customers with the right technology at the right time. With

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV De

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H. Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process R. P. Rocha, J. P. Carmo, and J. H. Correia Department of Industrial Electronics, University of Minho, Campus

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information