Key Photolithographic Outputs

Size: px
Start display at page:

Download "Key Photolithographic Outputs"

Transcription

1 Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1

2 Exposure latitude:the range of exposure energies (usually expressed as a percent variation from the nominal) which keeps the linewidth within specified limits. Example:A minimum exposure latitude of 10% is needed for this process in order to get adequate CD control. % = [Exposure for low CD spec - Exposure for high CD spec]/ Exposure dose for Target CD DUV Photoresist FEM Photoresist linewidth CD (um) Upper Spec CD Target CD Lower Spec CD y = x R 2 = Exposure Dose (mj/cm2) 2

3 Depth of Focus 200 nm spacewidth: Focus range for target CD +/- 10% DUV: UV6 ( 6275A) on SiON on Polysilicon 600 Spacewidth CD nm ( 1:1 200nm) Focus microns 8 mj/cm2 9 mj/cm2 10 mj/cm2 11 mj/cm2 12 mj/cm2 13 mj/cm2 14 mj/cm2 15 mj/cm2 16 mj/cm2 17 mj/cm2 18 mj/cm2 19 mj/cm2 20 mj/cm2 2 1 mj/cm2 22 mj/cm2 23 mj/cm2 24 mj/cm2 2 5 mj/cm2 3

4 Depth of Focus 250nm linewidth: Prolith setup with TARC ( JSR NFC- 540) and BARC ( SiON) 4

5 Depth of Focus 250nm linewidth: Focus range for target CD +/- 10% 248nm DUV UV6 with TARC/BARC 250nm L/S Photoresist CD nm ( 1:1=250nm) best focus = -0.35u mj/cm2 6 mj/cm2 6.5 mj/cm3 7 mj/cm2 7.5 mj/cm4 8 mj/cm2 8.5 mj/cm5 9 mj/cm2 9.5 mj/cm6 10 mj/cm mj/cm7 11 mj/cm mj/cm8 12 mj/cm mj/cm9 13 mj/cm mj/cm10 14 mj/cm2 DOF = 1.0u Focus Microns 5

6 Depth of Focus 250nm linewidth: Sidewall angle ( >80 degrees) and CD spec range( CD target +/-10%) specs are met! 6

7 Best Focus 250nm linewidth: Optimal focus = centered in focal range for best dose to achieve maximum sidewall angle and target CD 7

8 Exposure latitude Vs DOF plot from Prolith example: Depth of Focus 250nm linewidth: Taken from CD Vs Focus plot ( FEM) Maximum process rectangle that contains CDs and sidewall angles meeting the specified criteria. The Exposure latitude is taken as the height of the rectangle and the DOF as the base of the rectangle. Maximum DOF = single dose( 0% exposure latitude), while Maximum exposure latitude = 0 DOF (1 focus setting) % Expsoure Latitude Exposure latitude Vs DOF 300nm dense Linewidth from Prolith DOF microns 8

9 Linearity and MEEF(Mask Error Enhancement Factor): Slope of Wafer CD Vs Reticle CD (scaled to 1X): Ideal MEEF =1.00. See plot at right. For small CDs the change in the wafer CD is greater than the reticle CD. MEEF = δcd image /δcd mask 9

10 Isolated-Dense Bias: Caused by diffraction differences CDS are same size on reticle scaled to 1X ISO-DENSE BIAS = Isolated wafer CD - Dense wafer CD 10

11 Isolated-Dense Bias: Caused by diffraction differences CDS are same size on reticle scaled to 1X ISO-DENSE BIAS = Isolated wafer CD - Dense wafer CD 11

12 NILS The slope of the image intensity as a function of position (di/dx) measures the steepness of the image at the transition from bright to dark 12

13 NILS NILS : Normalized image log slope: slope of aerial image intensity (NILS) pattern multiplied by the feature width. This is a metric for the quality of the aerial image. Values between 6 8 are good! Can use in Prolith for quick Simulations to investigate OPTICAL effects. NILS says nothing about Photoresist patterns. 13

14 NILS NILS : Normalized image log slope: 14

15 NILS NILS : Normalized image log slope: 15

16 NILS NILS : Normalized image log slope: 16

17 NILS NILS : Normalized image log slope: 17

18 NILS NILS : Normalized image log slope: As image goes out of focus the image slope (NILS) decreases 18

19 NILS PROLITH Simulation NILS : Normalized image log slope: Typical NILS Vs Defocus curve 19

20 NILS PROLITH Simulation NILS : Normalized image log slope: Typical NILS Vs Defocus curve with multiple wavelengths ( Run simulation using wavelenth min, max step, then Use Prolith s Multi-line function. Focus = X axis; NILS = Y axis; wavelength = Z axis) 20

21 : Contrast Photoresist Contrast definition 21

22 : Contrast Photoresist Contrast definition Image edge Partially exposed defines sidewall angle 22

23 : Contrast Photoresist Contrast definition 23

24 Swing Curve: Thin films interference Effect: Key photoresist CD variation Effects: Swing Curve: Interference of r 1 and r 12 Standing Waves: Interference of i 1 and r 1 Swing CurveSpace width CD nm 850 Medium n o i 1 r 1 r 12 Spacewidth CD nm Space width CD nm Reflective substrate n s Photoresist Thickness nm 24

25 Reflectivity Curve: Prolith set up 25

26 Reflectivity Curve: Prolith SiON Reflectivity: 248nm Wavelength on Polysilicon : SiON k effect 0.46 Photoesist Reflectivity % n= 2.15; k = 0.72 n= 2.15; k = 0.22 n= 2.15; k = 0.92 n= 2.15; k = SiON thickness nm 26

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in.6na KrF imaging Ivan Lalovic, Armen Kroyan, Paolo Zambon, Christopher Silsby À, Nigel Farrar Cymer, Inc., 1675

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Depth of Focus, part 2

Depth of Focus, part 2 T h e L i t h o g r a p h y T u t o r (Autumn 995) Depth of ocus, part Chris A. Mack, INL Technologies, Austin, Texas In the last column we began our search for a suitable definition for depth of focus

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2, and C. Grant Willson 1 September 15, 1999 1 Department

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1 MLA 150 (DLA) Presentation and examples Théophane Besson, 17.03.2015, Heidelberg Instruments GmbH 1 Presentation of the tool The MLA 150 (named DLA in the past) is a new generation Maskless Aligner developed

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

17th Annual Microelectronic Engineering Conference, May 1999

17th Annual Microelectronic Engineering Conference, May 1999 17th Annual Microelectronic Engineering Conference, May 1999 Critical Dimension Analysis on the RIT Canon i-line Stepper Justin Novak Microelectronic Engineering Rochester Institute of Technology Rochester,

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Warren W. Flack, Scott Kulas Ultratech Stepper, Inc. San Jose, CA 95134 Craig Franklin HD Microsystems Austin,

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

(Ar [ Si O Si O] m )n

(Ar [ Si O Si O] m )n The widespread adoption of advanced packaging techniques is primarily driven by electrical device performance and chip form factor considerations. Flip chip packaging is currently growing at a 27% compound

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Understanding focus effects in submicrometer optical lithography: a review

Understanding focus effects in submicrometer optical lithography: a review Understanding focus effects in submicrometer optical lithography: a review Chris A. Mack, MEMBER SPIE FINLE Technologies P.O. Box 171 Austin, Texas 7871 Abstract. A review is presented on focus effects

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company Exposure Dose Optimization for a Positive Resist Containing Poly-functional Photoactive Compound Peter Trefonas Chris A. Mack Shipley Company SEMATECH 2300 Washington St. 2706 Montopolis Drive Newton,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI,

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI, Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI, Volume 3678 and is made available as an electronic reprint

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Large Area Interposer Lithography

Large Area Interposer Lithography Large Area Interposer Lithography Warren Flack, Robert Hsieh, Gareth Kenyon, Manish Ranjan Ultratech, Inc 3050 Zanker Road, San Jose. CA. 95124 wflack@ultratech.com +1 408-577-3443 John Slabbekoorn, Andy

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 8-8-1993 Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography Bruce W. Smith Rochester Institute

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Photoresist Absorbance and Bleaching Laboratory

Photoresist Absorbance and Bleaching Laboratory MCEE 505 Lithography Materials and Processes Page 1 of 5 Photoresist Absorbance and Bleaching Laboratory Microelectronic Engineering Rochester Institute of Technology 1. OBJECTIVE The objective of this

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar Mark A. Koten, Ph.D. Senior Research Scientist Electron Optics Group McCrone Associates Why check your SEM image calibration?

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information