22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Size: px
Start display at page:

Download "22nm node imaging and beyond: a comparison of EUV and ArFi double patterning"

Transcription

1 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC: Joost Bekaert, Bart Laenens, Vicky Philipsen, Monique Ercken, Eric Hendrickx, Geert Vandenberghe

2 Presentation outline Introduction SRAM patterning Scaling Summary Slide 2

3 Litho solutions at the 22nm node 22nm node options: Scale down 32nm node process using ArF immersion Switch to new technology: EUV Technology Enhancement products Infra-structure (process, reticles) Imaging ArF immersion Established, in HVM Extensive portfolio (eg. Flexray, DoseMapper) Well-developed Very low k1, double patterning required Slide 3 EUV 1st pre-production system shipped Being developed Maturing High k1, single exposure 2010 International Symposium on Lithography Extensions

4 EUV is compared to ArF immersion for 22nm node SRAM back-end layers 1:1 comparison for 22nm node FinFET type SRAM cell => identical clips on ArFi double patterning mask and reflective EUV mask Slide 4

5 EUV is compared to ArF immersion for 22nm node SRAM back-end layers 1:1 comparison for 22nm node FinFET type SRAM cell => identical clips on ArFi double patterning mask and reflective EUV mask EUV: Alpha Demo Tool at IMEC => NA = 0.25, σ = 0.50 ArFi: XT:1950Hi with Flexray => NA = 1.35, free-form source, XY-polarization Compare imaging performance, identify critical areas for HVM Slide 5

6 SRAM roadmap shows ~50% bit-cell size reduction per node Nodes evaluated: CDU: 22nm node ArFi and EUV Resolution: 16nm node EUV Node [nm] Half Pitch [nm] Cell size [µm2] Cell size shrink 40% % % % 22nm, um 2 Intel Corporation IDF nm, um 2 NOTE, 22 and 16nm data is scaled 32nm picture to illustrate cell-size reduction Slide 6

7 Critical layers of 22 nm node FinFET SRAM design Critical layers of FinFET SRAM: Active layer patterned as fins in Si Poly or metal gate layer Contact hole layer Metal-1 layer Bit-cell size = 2 x x 5 x = 0.075µm 2 Active layer Poly/metal gate wrapped around fin 78nm Active fin Poly / metal gate layer 96nm Slide 7

8 Critical layers of 22 nm node FinFET SRAM design Critical layers of FinFET SRAM: Active layer patterned as fins in Si Poly or metal gate layer Contact hole layer Metal-1 layer Bit-cell size = 2 x x 5 x = 0.075µm 2 Active layer 78nm T1 T6 T4 T3 T5 T2 6-Transistor 1-bit cell: High speed, low power consumption at expense of silicon area (~150F 2 ) => Bit-density is ~25 x smaller than DRAM (6F 2 ) Poly / metal gate layer 96nm Transistor matching = CD control critical for cell operation Slide 8

9 FinFET SRAM contact and metal-1 layers have complex 2D lay-out at gate/active pitch 22nm node Contact hole and Metal-1 layer patterning requires: Design split to relax minimum pitch + double patterning (eg. Litho-Etch-Litho-Etch) Single exposure EUV... Contact layer Metal layer 78nm 96nm 96nm Slide 9

10 Presentation outline Introduction SRAM patterning Scaling Summary Slide 10

11 ArFi masks: Design split and Source Mask Optimization Complex OPC, simultaneously optimizing the mask and illumination pupil to benefit fully from the Flexray illuminator 1. Split design in 2 identical layers for double patterning Contact layer Metal-1 layer Slide 11

12 ArFi masks: Design split and Source Mask Optimization Complex OPC, simultaneously optimizing the mask and illumination pupil to benefit fully from the Flexray illuminator 1. Split design in 2 identical layers for double patterning 2. Apply Source Mask Optimization (SMO) on splitted design clips M1 clip Simultaneous cooptimization of mask and Source OPCed clip source for given input design. + CH clip SMO Source OPCed clip Contact layer ASML-BRION Tachyon SMO + Metal-1 layer Slide 12

13 EUV specific mask OPC: Shadowing and flare correction 6 o angle of incidence: CD error on wafer level due to shadow from mask features => HV offset that changes across slit Shadowing error Vertical Horizontal Slide 13

14 EUV specific mask OPC: Shadowing and flare correction 6 o angle of incidence: CD error on wafer level due to shadow from mask features => HV offset that changes across slit Higher flare than ArF i system: Feature correction depending on feature density Transmission map Flare map Slide 14

15 EUV specific mask OPC: Shadowing and flare correction 6 o angle of incidence: CD error on wafer level due to shadow from mask features => HV offset that changes across slit Higher flare than ArF i system: Feature correction depending on feature density Here: Constant HV bias for shadowing, no flare correction. Regular OPC for CH layer OPC ASML-BRION Tachyon OPC Slide 15

16 EUV exposures on bare Si, ArFi exposure on hard mask for etch Double Patterning ArFi EUV 1 st Litho-Etch 2 nd Litho-Etch Process steps Metrology Strip/clean Etch Metrology ArFi Develop Expose Top-coat ArFi Resist BARC Hard mask Oxide Substrate Metrology Strip/clean Etch Metrology ArFi Develop Expose Top-coat ArFi Resist BARC Hard mask Oxide Patterned substr. This presentation focuses on 1 st litho Metrology Strip/clean Etch Metrology EUV Develop Expose EUV Resist EUV Under layer Hard mask Oxide Substrate Not part of this presentation Slide 16

17 Process window analysis illustrates difference between high k1 and low k1 imaging EUV ArFi E = 13 mj/cm2 E = 22 mj/cm2 E = 18.5 mj/cm2 E = 25 mj/cm2 Metal1-layer Contact-layer Slide 17

18 Process window analysis illustrates difference between high k1 and low k1 imaging 60 Averaged Bossung curve for 42nm M1 and 50nm CH: EUV vs ArFi CD [nm] EUV M1 EUV CH ArF i M1 ArF i CH Focus [nm] Process window analysis Depth of Focus Metal-1 [nm] Depth of Focus Contact Hole [nm] EUV >300 >300 ArF i ~100 ~130 Slide 18

19 Process window analysis illustrates difference between high k1 and low k1 imaging Averaged Bossung energy latitude curve for 42nm M1 and 50nm CH: EUV vs ArFi CD [nm] CD [nm] EUV M1 40 EUV M1 EUV CH EUV CH ArF i M1 ArF M1 ArF i CH 35 ArF CH Delta Focus Energy [nm] [%] Process window analysis Dose sensitivity Metal-1 [nm/%] Dose sensitivity Contact Hole [nm/%] Exposure Latitude Metal-1 [%] Exposure Latitude Contact Hole [%] EUV ArF i Slide 19

20 EUV Full wafer CDU 42nm Metal layer < 3.0nm Full wafer and intra field CDU for 42nm Metal layer: All unique features in designs evaluated Full wafer and intra field CDU < 3.0nm 3σ (7% of TargetCD) for both H and V lay out Identical features in lay-out are within +/-0.6nm from average CD CDU [nm 3σ] CDU performance 42nm Metal-1 trench width F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 Feature Mean CD [nm] FWCDU H FWCDU V IF CDU H IF CDU V Mean H Mean V Slide 20

21 EUV Full wafer CDU 50nm Contact layer < 3.8nm Full wafer and intra field CDU for 50nm Contact Hole layer All unique features in designs evaluated Full wafer and intra field CDU < 3.8nm 3σ (7.5% of TargetCD) for both H and V lay out Identical features in lay-out are within +/-0.6nm from average CD CDU [nm 3σ] CDU performance 50nm Contact Holes F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12 F13 F14 F15 F16 Feature Mean CD [nm] FWCDU H FWCDU V IF CDU H IF CDU V Mean H Mean V Slide 21

22 ArFi Intra field CDU 50nm Contact layer ~ 6.0nm 6 features in splitted design evaluated (for symmetry reasons) Intra field CDU is between 4.5 and 8.7nm 3σ Evaluated contacts print within +/-2nm of average CD CO_set1 CO_set2 CO_set3 CO_set4 CO_between Ellips CDU [nm 3σ] CDU performance 50nm Contact Holes IF CDU Mean Ellips_Y CO_between CO_set1 CO_set2 Feature CO_set3 CO_set4 Slide 22

23 ArFi Intra field CDU 50nm Contact layer ~ 6.0nm 6 features in splitted design evaluated (for symmetry reasons) Intra field CDU is between 4.5 and 8.7nm 3σ Evaluated contacts print within +/-2nm of average CD EUV gives better CDU and shows less variation between holes => benefit of high k1 imaging CO_set1 CO_set2 CO_set4 CO_between CO_set3 Ellips CDU [nm 3σ] CDU performance CDU performance 50nm Contact 50nm Contact Holes - Holes ArFi vs EUV IF CDU IF CDU ArFi IF CDU Mean EUV Ellips_Y Ellips_Y CO_between CO_between CO_set1 CO_set1 CO_set2 CO_set2 Feature Feature CO_set3 CO_set3 CO_set4 CO_set4 Slide 23

24 ArFi full contact and metal-1 layer succesfully transferred into TiN hardmask After first litho Afteretch DP in in TiN FLEXRAY Full contact layer of µm² SRAM Slide 24

25 ArFi full contact and metal-1 layer succesfully transferred into TiN hardmask After first litho Afteretch DP in in TiN FLEXRAY Full metal1 layer of µm² SRAM Slide 25

26 Simulated CDU budget for 50nm Contacts supports experimental data Main contributors: EUV: Reticle and process + etch (to be verified), same CDU for all features ArFi: MSDxy and reticle, large CDU differences between features CO_between EUV CO_between ArFi NXE:3100 vs. XT:1950Hi CDU budget for 50nm CH layer CO_set1 CO_set2 CO_set4 CO_between CDU [nm 3σ] CO_set3 Ellips Focus Dose Flare MSDxy MSDz Aberrations Other Reticle Process + Etch System CDU Total CDU CDU budget analysis System CDU [nm; 3σ] Total CDU [nm; 3σ] EUV ~1.0 ~3.5 ArF i ~ ~ Slide 26

27 Contact hole MEEF is ~1.5 for EUV and ~5 for ArFi Mask Error Enhancement Factor (MEEF) is major contributor to ArFi CDU budget EUV MEEF ~ 1.5 (simulated) ArFi MEEF = (measured) CO_set1 CO_set2 CO_set3 CO_set4 CO_between Ellips MEEF [-] MEEF EUV (sim) MEEF ArFi (meas) MEEF ArFi (sim) EllipsX CO_between CO_set1 CO_set2 CO_set3 CO_set4 Feature Slide 27

28 Contact hole MEEF is ~1.5 for EUV and ~5 for ArFi Mask Error Enhancement Factor (MEEF) is major contributor to ArFi CDU budget EUV MEEF ~ 1.5 (simulated) ArFi MEEF = (measured) Aerial image contrast shows: EUV: High contrast and steep slope => low MEEF ArFi: Low contrast and shallow slope => high MEEF + MSDxy sensitivity Aerial Image Intensity [-] MEEF [-] Simulated Aerial Image contrast for CO between EllipsX CO_between CO_set CO_set2 Feature CO_set3 CO_set4 MEEF EUV (sim) MEEF ArFi (meas) MEEF ArFi (sim) Position [nm] EUV ArFi CO_set1 CO_set2 CO_set3 CO_set4 CO_between Ellips Slide 28

29 Presentation outline Introduction SRAM patterning Scaling Summary Slide 29

30 SRAM scaling down to 16nm node using 0.25 NA EUV Contact and M1 layer of aggressive 16nm node cell-size (0.038µm2) resolved at first try SEM-images show that OPC needs to be optimized further 60nm 64nm Full contact and Metal1 layer of µm² SRAM Slide 30 64nm

31 Scaling SRAM cells with double patterning: µm 2 5-track SRAM cell with local interconnect P78 x P74 bit-cell area µm 2 PV bands after double patterning of CO and ME1, i.e. 4 litho layers: ME1 ME1 CO CO PV bands generated at ±28 nm defocus, ±2% dose error, ±1 nm mask bias EL (%) DOF@6 % EL (nm) Max. MEEF CO split CO split ME1 split ME1 split Slide 31 31

32 Presentation outline Introduction SRAM patterning Scaling Summary Slide 32

33 Summary Dark field back-end layers (as demonstrated here) show superior CDU performance with EUV lithography ArFi low k1 imaging gives less process latitude Main challenges for ArFi double patterning: Imaging at decreasing contrast levels => Stringent system specs, eg. on MSDxy, focus and overlay, to meet total CDU performance Tight specification on masks due to high MEEF and reduced tolerance for registration errors Scaling down to ~0.06µm 2 cell-size feasible for back-end layers using pattern split with local interconnect and SMO Masks designed for experimental verification (N)XT:1950Hi secures roadmap requirements while EUV matures Slide 33

34 Acknowledgements IMEC: Jan Hermans, Staf Verhagen, Mieke Goethals, Gian Lorusso, Kurt Ronse ASML ADT team at IMEC: Andre van Dijk, Charles Pigneret, Gert Bertels, Christophe Péry, Thomas Gregory, Tom Lathouwers, Arno van Limpt, Leon Romijn ASML ADT team in Vhv: Oleg Voznyi, Dragan Antunovic, Sjoerd Lok, Theo van den Akker Hitachi SEM group: Dorothe Oorschot,Yin Fong Choi, Mariette Berende, Jeroen Meessen XT4:1950Hi and ADT exposure support: Eddy van der Heijden, Kees Ricken Device requirements and layer stack options: Ewoud Vreugdenhil and Frank van Bilsen Tachyon OPC and flare maps: Peter Nikolsky, Natalia Davydova, Brion team ASML is grateful for the support of the EAGLE and EXEPT projects, as well as the MEDEA+ and CATRENE organizations of the European Union Slide 34

35 Thank You for Your Attention!

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Beyond Immersion Patterning Enablers for the Next Decade

Beyond Immersion Patterning Enablers for the Next Decade Beyond Immersion Patterning Enablers for the Next Decade Colin Brodsky Manager and Senior Technical Staff Member Patterning Process Development IBM Semiconductor Research & Development Center Hopewell

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

ASML s customer magazine

ASML s customer magazine ASML s customer magazine 211 Winter Edition TWINSCAN NXT extends immersion performance EUV is in customers hands Holistic Litho improves on-product overlay 6 1 24 3 Editor s note 4 ASML in the News 6 More

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Exposure Tools for HVM: It s Under (and About) Control EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016 ASML at a EUV Source Workshop Slide 2 The position of EUV

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING VINCENT WIAUX, VICKY PHILIPSEN, ERIC HENDRICKX EUVL WORKSHOP. BERKELEY, JUNE 13 th, 2018. PUBLIC EUV MASK 3D EFFECTS EXPERIMENTAL

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information