Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Size: px
Start display at page:

Download "Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning"

Transcription

1 Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang, Mary Haviland, Theodore Cacouris, Daniel Brown Cymer Inc. October 20, 2011

2 OUTLINE Report on field performance of the XLR 600ix light source in double patterning environment for further improvements in light-source performance and productivity Field results of new chamber technology performance Field results of new gas management technology Process application of laser Focus Drilling for process DoF enhancement 2

3 OUTLINE Report on field performance of the XLR 600ix light source in double patterning environment for further improvements in light-source performance and productivity Field results of new chamber technology performance Field results of new gas management technology Process application of laser Focus Drilling for process DoF enhancement 3

4 LIGHT SOURCE CONTRIBUTION TO LITHO CELL OPERATION Light-source Performance Double Patterning Requirement Energy stability Wavelength stability Bandwidth stability Beam stability Laser Power Stability of performance parameters Scheduled downtime CD control & Overlay control Throughput Availability Process Performance Litho Cell Productivity Cymer s XLR TM 600ix laser systems were introduced last year and have demonstrated high performance, flexibility, and reliability in immersion double patterning lithography environment. 4

5 Uptime [%] Relative Efficiency LIGHT SOURCE PLATFORM FOR DOUBLE PATTERNING High power capability enables higher throughput and supports using optimum power when desired / necessary: 60W -> 75W -> 90W Efficient light source platform. Stable and predictable performance reduces downtime; installed-base uptime greater than 99.7% XLR 600ix XLA 300/400 50% increase in relative efficiency XLA-300/400 XLR-600ix Q1 Q4 Q2 Q3 Q4 Q1 Q2 Q3 Year 2010 The XLR 600ix is the platform for reduced CoO to enable immersion double patterning XLA W XLA W XLR 600ix 90W 5

6 Dose Error (%) STABLE & PREDICTABLE PERFORMANCE WITH XLR TM 600ix Field performance of Cymer s XLR TM 600ix operating at 90W: Dose stability Bandwidth stability Wavelength stability CD control & Overlay control 90W operation at a memory fab Cymer introduced PowerOptics TM to enable long life operation at 90W. New optics materials and coatings were developed specifically for high power operation. Thermally stable amplifier ring design provides passive beam stability even at high thermal loads from 90W operation. Field data confirms stable and predictable performance at 90W Q1 Q2 Q3 Q4 6

7 OUTLINE Report on field performance of the XLR 600ix light source in double patterning environment for further improvements in light-source performance and productivity Field results of new chamber technology performance Field results of new gas management technology Process application of laser Focus Drilling for process DoF enhancement 7

8 Chamber characteristic Uptime % UPTIME IMPROVEMENT WITH NEW CHAMBER TECHNOLOGY Electrode erosion is one of the key mechanisms that limits discharge chamber lifetime and causes performance variation during life. By maintaining a constant electrode gap: chamber aging mechanism is mitigated predictable end of life (EOL) stable performance through life % 99.80% Average uptime increase realized in the field Old chamber 13-Week Uptime Average New chamber 99.60% 99.40% 99.20% 99.00% Data population: 78 systems Performance limit Old chamber New Chamber Stable performance Time Weeks from L3 Implementation To date there are ~250 systems upgraded with this new chamber The 0.3% increased system availability directly translates to greater than 24 hrs of additional productive time per year 8

9 OUTLINE Report on field performance of the XLR 600ix light source in double patterning environment for further improvements in light-source performance and productivity Field results of new chamber technology performance Field results of new gas management technology Process application of laser Focus Drilling for process DoF enhancement 9

10 IMPROVED PRODUCTIVITY WITH iglx TM GLX (gas life extension) was introduced to improve uptime iglx is Cymer s latest advancement in gas management technology that: Further extends gas life duration to 4 Bp (refill interval ~ 7 30 Bp/year) Enables automated gas optimization Reduced fluorine-mix gas usage = Refill Field test (beta) By using advanced model-based techniques, the accuracy and repeatability of chamber gas concentration greatly improve performance stability Cymer s iglx takes advantage of the predictable performance of the new chamber technology Gas management-related down time is further reduced Average F 2 Gas Usage 1 Metric GLX iglx % saving F 2 /day % F 2 /Mp % 1 Includes refills and injects Further increased system availability and tool productivity 10

11 OUTLINE Report on field performance of the XLR 600ix light source in double patterning environment for further improvements in light-source performance and productivity Field results of new chamber technology performance Field results of new gas management technology Process application of laser Focus Drilling for process DoF enhancement 11

12 Exposure Latitude % LASER FEATURE: ENABLING FOCUS DRILLING Litho tool challenge: Decreasing process window area for contact layers Desired State: Increase DoF without adversely impacting CDU / MEEF / EL in advanced immersion litho tools Light sources used in photolithography usually require narrow and stable bandwidth, to support achieving the necessary CD uniformity. Reduced process latitudes in advanced patterning applications result in CD control challenges. Cymer has developed Focus Drilling technology to support a larger process window for patterning of contact and via structures Simulation 1.35 NA ArFi Soft Annular Illumination Nominal BW Focus Drilling 1.3pm E DOF (nm) Laser Solution: Focus Drilling: Enable capability to broaden light source bandwidth 12

13 Exposure Latitude % LASER FEATURE: ENABLING FOCUS DRILLING Litho tool challenge: Decreasing process window area for contact layers Desired State: Increase DoF without adversely impacting CDU / MEEF / EL in advanced immersion litho tools Light sources used in photolithography usually require narrow and stable bandwidth, to support achieving the necessary CD uniformity. Reduced process latitudes in advanced patterning applications result in CD control challenges. Cymer has developed Focus Drilling technology to support a larger process window for patterning of contact and via structures Simulation 1.35 NA ArFi Soft Annular Illumination Nominal BW Focus Drilling 1.3pm E DOF (nm) Laser Solution: Focus Drilling: Enable capability to broaden light source bandwidth Litho process performance improvements enabled by laser features Increased DoF is achieved by operating the light source at the desired bandwidth with a modest tradeoff in exposure latitude for contact and via layers. Wafer exposures confirm simulation results. 0.7 pm 0.9 pm 1.0 pm 1.2pm Lalovic et al, SPIE 11 Paper Data courtesy ASML 13

14 LASER FEATURE: ENABLING FOCUS DRILLING Demonstrated stable wafer measurement of focus and process DoF Lalovic et al, SPIE 11 Paper Stable lithography process performance improvements enabled by laser focus drilling in field installations Stability performance equivalent to nominal laser operation 14 Data courtesy ASML

15 FOCUS DRILLING: BROAD BANDWIDTH TUNABILITY Novel improvements have been integrated into the line narrowing module (LNM) to now provide a wide spectral bandwidth tuning range. Process latitude / OPE Advanced metrology methods have been incorporated into the bandwidth analysis module (BAM) to support accurate spectral measurements over the wider range. Process repeatability Convolved bandwidth (CBW) is a spectral metric derived from the convolution of the aerial image function with the source spectral shape. Control algorithms have been developed to integrate feedback stabilization to the desired target value of any derived BW metric including CBW shown here. CDU & Yield 15

16 SUMMARY Cymer s XLR TM 600ix laser systems consistently demonstrate high performance and reliability in high volume double patterning manufacturing environment Innovative technologies, such as iglx and new chamber technology, enable XLR 600ix to meet higher productivity requirements over longer operation time» Increase productivity Flexible design architecture of Cymer s XLR TM laser systems support novel features: eg. focus drilling» Increase process latitude 16

17

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography Akihiko Kurosu, Masaki Nakano, Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki,

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool 6520-75 Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool Toru Suzuki*, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Yasufumi Kawasuji*, Masashi

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in.6na KrF imaging Ivan Lalovic, Armen Kroyan, Paolo Zambon, Christopher Silsby À, Nigel Farrar Cymer, Inc., 1675

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation Hirotaka Miyamoto,

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Novel laser power sensor improves process control

Novel laser power sensor improves process control Novel laser power sensor improves process control A dramatic technological advancement from Coherent has yielded a completely new type of fast response power detector. The high response speed is particularly

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Narrow line diode laser stacks for DPAL pumping

Narrow line diode laser stacks for DPAL pumping Narrow line diode laser stacks for DPAL pumping Tobias Koenning David Irwin, Dean Stapleton, Rajiv Pandey, Tina Guiney, Steve Patterson DILAS Diode Laser Inc. Joerg Neukum Outline Company overview Standard

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Brent P. Gila, Andes Trucco, David Hays Located in sunny Gainesville, FL (100 miles north of Disney World) https://nrf.aux.eng.ufl.edu/

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Exposure Tools for HVM: It s Under (and About) Control EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016 ASML at a EUV Source Workshop Slide 2 The position of EUV

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Designing for Femtosecond Pulses

Designing for Femtosecond Pulses Designing for Femtosecond Pulses White Paper PN 200-1100-00 Revision 1.1 July 2013 Calmar Laser, Inc www.calmarlaser.com Overview Calmar s femtosecond laser sources are passively mode-locked fiber lasers.

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information