Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Size: px
Start display at page:

Download "Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd"

Transcription

1 Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd

2 Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary OPC: optical proximity correction SMO: source mask optimization ILT: inverse lithography technology

3 ITRS Lithography Solutions ~ DRAM/MPU ITRS 2011 edition First Year of IC Production DRAM ½ pitch (nm) (contacted) MPU/ASIC Metal 1 1/2 pitch (nm) nm Imm nm DP 22 EUV 193nm MP ML2 (MPU) Imprint (DRAM) 16 EUV 193nm MP ML2 Imprint DSA + litho platform 11 EUV / EUV + MP EUV (6.Xnm) ML2 Imprint Litho + DSA Innovation Narrow Options MPU / DRAM time line Narrow Options Narrow Options

4 ITRS Lithography Solutions ~ Flash ITRS 2011 edition First Year of IC Production Flash ½ Pitch (nm) (uncontacted Poly)(f) nm DP nm DP NAND Flash Time Line nm MP EUV Imprint Narrow Options 11 EUV + MP 193nm MP EUV (6.xnm) Imprint EUV + DSA Innovation Narrow Options Optical lithography extension is expected

5 Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary

6 Strong OPC, Source & Mask Optimization Complexity of Mask Optimized Area Constrained OPC Better Performance Exposure Latitude Complexity of Source Computational Lithography solutions such as SMO will be needed

7 Evaluation of DOF improvements with SMO Bias OPC design Hole Size (nm) CP Bias OPC SO Bias OPC SO Strong OPC SO + Strong OPC : DOF=200nm CP + Strong OPC : DOF=160nm * Collaboration work with Nikon Strong OPC design SO Illumi. + Strong OPC Source 56 SO + Bias OPC : DOF=130nm Focus Level (nm) Focus Level (nm) DOF = 200nm CP Illumi. + Strong OPC DOF = 160nm DOF margin was improved by SMO SO: source optimization, CP: cross pole

8 Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ SRAM * Collaborative evaluation with AIST Japan Evaluation of optimized source shapes based on various target patterns. Learn the balance of optimized source shape across the pattern layout? PA PB PC PD * Motif patterns are from sparse to dense.

9 Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ SRAM * Collaborative evaluation with AIST Japan Evaluation of optimized source shapes based on various target patterns. Learn the balance of optimized source shape across the pattern layout? PA PB PC PD Even within a layer, optimized source shape varies greatly

10 Details of SMO Evaluation ~ Motif patterns vs. Optimized source shapes(metal Layer)~ * Collaborative evaluation with AIST Japan SRAM Optimized Source Shape PA PB PC PD Optimized source shape can be obtained with wider reference points

11 Contents Introduction Lithography Trends Computational lithography options More Complex OPC SMO, ILT Mask challenges Mask fabrication Shot count Inspection and metrology Summary

12 EB Data Grid Size vs. Lithography Margin * Collaboration work with Nikon Optimum data grid balancing litho margin and mask complexity Shot # = 1 Shot # = 340x Shot # = 93x Shot # = 53x Shot # = 24x Shot # = 7x Bias OPC Free form Grid= 1 nm Grid = 4 nm Grid = 16 nm Grid = 32 nm Exposure Latitude (%) Data grid vs. EL EL (%) Best Dose Shift (%) Data grid vs. Dose shift Dose Shift Data grid vs. Dose shift Grid Size (nm) Grid Size (nm) < 1/10 of EB shots with optimum grid size

13 Shot Count Reduction Approaches conventional fracturing optimized fracturing litho-check bias MB-MDP* overlapped shots intelligent bias MB-MDP : Model-Based Mask Data Preparation litho-check EB writing check ** virtual pattern Fewer shot counts will be obtained by optimized overlapping shots

14 Shot Count Reduction Approaches conventional fracturing MB-MDP overlapped shots with circular shape simplifying assist features ** virtual pattern Fewer shots will be obtained by dedicated shot shapes

15 Trials & Examples Conventional Fracturing MB-MDP overlapped shots 1/3-1/4 features Courtesy of Overlapped fracturing reduces the shot counts with optimal effect

16 Mask Defect Inspection Tools Tool KLA597XR Teron617 NPI-6000 Vendor KLA-Tencor KLA-Tencor NuFlare Technology node (nm) nm nm nm Wavelength (nm) Pixel size (nm) 72 / 90 / / / 70 / 92 Performance Min. sense. (nm) Advanced inspection systems must be adopted

17 Printability Metrology Tool ~ AIMS32 Tool AIMS45 AIMS32 Vendor Carl Zeiss Carl Zeiss Technology node (nm) nm nm Wavelength (nm) Illumination numbers Measurement repeat. (3σ, nm@wafer) Stage accuracy (nm) < 2000 < 150 TAT (stack/hrs) Wafer level CD application No Yes SMO application No Yes Advanced printability evaluation tool will be needed

18 Summary ArF lithography will be extended with computational lithography technologies Further optimization of SMO may be needed Mask data is becoming more complex and intensive Successful trials are underway using overlapped shots with MB-MDP Mask defect inspection and printability metrology tools for computational lithography mask have been evaluated More close collaboration needed for future work among mask suppliers, mask users, and related tool suppliers

19 Acknowledgement Nikon for collaboration work on SMO evaluation D2S for overlapped shot trial K. Kadota of AIST for SMO evaluation, and the part of work was supported by NEDO E. Tsujimoto, and K. Hayano of DNP for providing evaluation data, and N. Toyama of DNP for shot reduction approach

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Novel EUV Resist Development for Sub-14nm Half Pitch

Novel EUV Resist Development for Sub-14nm Half Pitch EUV Workshop 2015 Maui, HI P64 Novel EUV Resist Development for Sub-14nm Half Pitch Yoshi Hishiro JSR Micro Inc. EUV Workshop, June 17, 2015 1 Contents Requirement for sub-14nm HP EUV resist JSR strategy

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Defect inspection of imprinted 32 nm half pitch patterns

Defect inspection of imprinted 32 nm half pitch patterns Defect inspection of imprinted 32 nm half pitch patterns Kosta Selinidis, Ecron Thompson, Ian McMackin, Joseph Perez, S.V. Sreenivasan, Douglas J. Resnick Molecular Imprints, Inc., 1807 West Braker Lane,

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Leadership Through Innovation Litho for the future

Leadership Through Innovation Litho for the future Leadership Through Innovation Litho for the future Deutsche Bank Access Asia Conference 2010 Singapore Craig De Young VP Investor Relations and Corporate Communications May 12, 2010 Public Safe Harbor

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

EUVL Challenges for Next Generation Devices

EUVL Challenges for Next Generation Devices EUVL Challenges for Next Generation Devices Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device Roadmap and Lithography Extendibility

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

The Need for Multiple Alternatives for sub-20 nm Lithography

The Need for Multiple Alternatives for sub-20 nm Lithography The Need for Multiple Alternatives for sub-20 nm Lithography Moshe Preil GLOBALFOUNDRIES Introduction Over the past 30 years, minimum feature sizes for advanced integrated circuits have been reduced by

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information