Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Size: px
Start display at page:

Download "Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability"

Transcription

1 Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi Mohri 1 and Naoya Hayashi 1 Martin Drapeau 3, Kevin Lucas 4 and Chris Cork 5 1 Dai Nippon Printing Co, Ltd., --1 Fukuoka, Fujimino , Japan DNP Corp. USA, 335 Kifer Road, Suite 1, Santa Clara, CA 9551, USA 3 Synopsys Inc., One Antares Dr., Nepean, Ontario KE 8C4, Canada 4 Synopsys Inc., 131 S. Mopac Expressway, Austin, TX 78746, USA 5 Synopsys Inc., 1 Rue Lavoisier, Montbonnot, 3833 France *Phone: FAX: inazuki_y@mail.micro.dnp.co.jp ABSTRACT Double patterning technology (DPT) is one of the most practical candidate technologies for 45nm half-pitch or beyond while conventional single exposure () is still dominant with hyper NA avoiding DPT difficulties such as split-conflict or overlay issue. However small target dimension with hyper NA and strong illumination causes OPC difficulty and small latitude of lithography and photomask fabricated with much tight specification are required for. Then there must be double patterning (DP) approach even for available resolution. In this paper DP for available resolution is evaluated on lithography performance, pattern decomposition, photomask fabrication and inspection load. DP includes pattern pitch doubled of, then lithography condition such as mask error enhancement factor (MEEF) is less impacted and the lower MEEF means less tight specification for photomask fabrication. By using Synopsys DPT software, there are no software-induced conflicts and stitching is treated to be less impact. And also this software detects split-conflicts such as triangle or square placement from contact spacing. For estimating photomask inspection load, programmed defect pattern and circuit pattern on binary mask are prepared. Smaller MEEF leads less impact to defect printing which is confirmed with AIMS evaluation. As an inspection result, there are few differences of defect sensitivity for only dense features and also few differences of false defect counts between and DP with less NA. But if higher NA used, DP s inspection sensitivity is able to be lowered Then inspection load for DP would be lighter than. Keywords: Double patterning, Decomposition, photomask inspection, mask error enhancement factor Design for Manufacturability through Design-Process Integration II, edited by Vivek K. Singh, Michael L. Rieger, Proc. of SPIE Vol. 695, 6951O, (8) X/8/$18 doi: / SPIE Digital Library -- Subscriber Archive Copy Proc. of SPIE Vol O-1

2 1. Introduction Double Patterning Lithography (DPL) has been thought the most practical candidate technologies for 45nm half-pitch (HP) or beyond and ITRS6-update defined the specification of DPL [1]. The main concerns and issues of DPT were also reported [] that overlay and CD uniformity would be critical because they impact wafer CD directly. On the other hand, the resolution with optical lithography tool has been improved since water immersion was introduced and already reached NA: 1.35 [3]. There are issues even if hyper NA in the case of close to the region of the resolution limit [4]. The contrast with the region on wafer will decreases and MEEF will grow. The recent study shows MEEF grows around.8 at 9nm pitch with NA: 1.35 of Binary-mask [5]. This makes photomask specification tighter at the region. If the pitch will be doubled, the MEEF will descend to around 1.5. This means CD error on photomask impacts over 1.8x for 9nm pitch to compared to 18nm pitch. Then DP or ideal pitch doubling will have opportunities to help such tight photomask specification. There are still issues remaining for DP as split-conflict. The split-conflict means the pattern disable to be split into pitch doubled. This conflict from non DP oriented design, but recent checking or decomposition software detects those conflicts in early stage and decomposes the design into optimized two complements.. Motivation The supposed critical pitch at 3nm-node poly layer is around 1nm. When current exposure technologies are applied to the pitch, enough contrast for lithography is not obtained by conventional off-axis illumination (OAI) such as annular illumination. Then stronger OAI such as Cquad illumination will achieve enough contrast though strong OAI causes OPC difficult due to high complex degree of coherence [6]. In this situation, the pitch doubled as DPT enables to apply annular illumination which impacts less OPC and improves DOF of complex pattern (shown in Fig.1). As to critical pitch, sub resolution assist feature (SRAF) is available to be placed and so almost equivalent DOF with is achieved. These optical behaviors with those illuminations are estimated with 3D simulation. Strong OAI impacts two-dimensional features such as line-ends or corners than lines. Comparing line-end simulation with NA1.35/Cquad for 1nm pitch and NA1./Annular for nm shows the Cquad illumination grows line-end shortening almost x larger than Annular at 6nm defocus. And the line-ends is around 1.5x shrank even if at focal (shown in Fig.). Proc. of SPIE Vol O-

3 + pitch1n pitchn Mask Cquad Annular Annular Fig.1: Description of critical pattern and illumination setting of and DP Design & Contour example Line-end gap Line shrinking CD deviation (a) Line-end shortening (b) Line shrinking 18-6nm defocus 16 Just focus 14 6nm defocus S6 S8 S1 S6 S8 S1 S6 S8 S1 S6 S8 S1 Line-end gap Line-end gap Line-end gap Line-end gap NA1.35 cquad NA1. annular CD deviation [nm@1x] NA1.35 cquad NA1. annular Fig.: CD-defocus and Proximity effect comparison of and DP illumination (a) Line-end shortening (b) Line shrinking Proc. of SPIE Vol O-3

4 From photomask fabrication point of view, DPT is concerned to lead yield loss by tight image placement or CD control. As same as writing, inspection constitutes large portion of whole photomask fabrication. In this research, photomask inspection will be invested. When there are all 1nm pitch pattern for and nm pitch with SRAF for DP, mask inspection load of DP is supposed to be as heavy as that of because of almost same number of features. On the other hand, MEEF of DP is almost.8x smaller than that of (shown in Fig.3). And it is around.6x when DP is applied with NA1.35 as well. In this situation, smaller MEEF will reduce not only the tight specification of mask CD but sensitivity of defect printing, and these reductions mean improving photomask fabrication latitude. Then photomask inspection is tried using test pattern of DP and on same plate. Those patterns were prepared with Synopsys decomposition and OPC tool. 3.5 MEEF MEEF NA1.35 NA1. NA1.35 cquad annular annular Fig.3: MEEF comparison of and DPT illumination 3. Experimental This experimental consists of data-prep, photomask fabrication and the evaluation. The concept of this experiment is to evaluate mask fabrication load, especially inspection load of DP pattern at 3nm-node poly layer. (1). Design preparation: Decomposition and OPC In this research, test circuit pattern is prepared by Synopsys Inc. Synopsys DPT software goals is optimizing polygon cutting for DPT in designs, reducing design rework & optimize layout area, maximizing output symmetry & density uniformity and wafer yield such as OPC friendly & overlay-aware decomposition, DPT-aware OPC & RET and accurate litho, etch & substrate modeling. Currently Synopsys decomposition and coloring are based on Proteus model-based OPC platform. (). Estimating writing load and inspection load Conventional photomask fabrication load is estimated by counting the number of writing figures. Writing time is almost Proc. of SPIE Vol O-4

5 proportional to the number of writing features for variable shaped beam writer. Then comparison of writing load of DPL and will be helpful to estimating the load and the cost for DPL. And also supposed inspection load will be estimated by counting the number of MRC. (3). Mask inspection In this section, one binary mask with and DP data is fabricated with leading-edge writing tool and is inspected for experimentally estimating inspection load. Inspection conditions are shown as follows; Mask: Binary (NTAR7) Pattern Data: Simple L/S and line-end pattern with programmed defect OPCed circuit pattern prepared by Synopsys (area: um x 15um) Inspection tool: TeraScanHR (KLA-Tencor), 7nm pixel, Transmitted light mode, Die to Database, Die to Die CD 1% evaluation tool: AIMS45-193i (Carl Zeiss), scanner mode (): 1.35NA, Cquad.9/.6/3deg, X/Y pol. (DP): 1. or 1.35NA, Annular.9/.6, X/Y pol. (3-1). Programmed defect to calibrate inspection sensitivity Generally, high sensitivity to detect small defects and reduction of false defects are trade-off. For the conventional criteria, inspection sensitivity should be adjusted to detect the defect that causes CD variation of more than 1% or so of CD and higher sensitivity is not required to reduce detecting false defect counts. To calibrate the inspection sensitivity, programmed defect for both and DP are created. Some of them are convex defect in lines and line-end extension (shown in Fig.4). Convex defect Line-end oversize Convex defect DP Line-end oversize Fig.4: Description of test pattern for setting inspection sensitivity (3-). Checking false counts with circuit pattern As known well, currently inspection capability is nearly limit to 3nm-node because of small pattern size and tight pitch on photomask. The degradation of inspection image will causes increasing false defects. When mask inspection is done, Proc. of SPIE Vol O-5

6 large number of false defect is a big problem that a lot of time is spent confirming image review. If higher inspection sensitivity is required and this sensitivity creates large number of false defect, it will be the region of inspection is impossible. Then circuit data of and DP without defect is inspected to check false counts. 4. Result and Discussion (1). Decomposition results Sample of logic poly layer were split (shown in Fig.5). There are no software-induced conflicts and stitching is treated to be less impact at critical (gate) region. And there are results of split sample logic contact and routing metal (shown in Fig.6). At contact region, this software detects split-conflicts such as triangle or square placement from contact distance. At high density metal region, similar density of each data and no split error are found. These represent Synopsys DPT decomposition software is ready for production. Fig.5: Split sample of logic poly (a) (b) l E -III- E -III- E -I- U LU L H I n n E E H U n I I I Fig.6: Split sample of (a) logic contact (b) routing metal U H Proc. of SPIE Vol O-6

7 (). Estimating writing load and inspection load Writing load and inspection load was estimated by computing approach or counting the number of each data (shown in Fig.7). DP s number of fractured data is 1.3x of sum of s. DP s tough aspect is not only tight image placement but writing time. On the other hand, DP s MRC error is.4x of s. As to DP s inspection, false counts or inspection load will be reduced (shown in Fig.8 (a)). But if MRC rule is tighter, there is no difference between and DP. 1.3x DP1 DP DP1 + DP # of fractured data Fig.7: number of fractured data Line error (a) Space error DP1 DP (b) DP1 + DP Line error Space error.4x # of MRC error # of MRC error Fig.8: (a) MRC results (b) tighter MRC results (3-1). calibrating inspection sensitivity From the programmed defect of various defect size and AIMS evaluation, proper sensitivity for inspection tool is fixed to achieve enough sensitivity and to detect less false counts. From these experiments, the sensitivity of NA1.35/ and NA1./DP is almost same. This sensitivity means same inspection load for higher NA/ and less NA/DP. And in the case of NA1.35DP, the 8% less sensitivity achieves same NA/ (shown in Fig.9). Proc. of SPIE Vol O-7

8 6 AIMS CD CD 1% variation Defect size [nm] Fig.9: AIMS CD vs. Defect size (1. NA Cquad) DP (1. NA annular) DP (1.35 NA annular) (3-). False counts with circuit pattern In this experiment, DP s inspection sensitivity was set for 1.NA. Biased pattern is meant as Mean-To-Target (MTT) error or mask CD variation of OPC target. As a result, there are - false counts at DP pattern, otherwise 1-7 false counts at pattern (shown in Fig.1 (a), (b)). From these results, it is possible to apply 45nm-node inspection tool to DP. And also it is not impossible for depending on adjusting inspection conditions. As trials increased, some worse results in DP s condition were found. So in this approach, mask inspection load in the particular case is considered as heavy as s (shown in Fig.1 (c)). Proc. of SPIE Vol O-8

9 # of False counts # of False counts DP1 DP (a) bias -8 bias 8 Mask bias on mask scale [nm] (c) DP1 DP #1 # #3 #4 #5 #6 #7 #8 Trial number # of False counts (b) bias -8 bias 8 Mask bias on mask scale [nm] DP1 DP Fig.1: inspection results of circuit pattern. (a) Die to Database results with bias variation (b) Die to Die results with bias variation (c) Die to Database results of 8 times trial (4). Photomask fabrication load In terms of MEEF, when pattern decomposition reduces MEEF, OPC s parameter setting have to be changed while this experimental employing same condition for both DP and. The OPC condition tuning will help to reduce photomask fabrication load for DP. From the computational result, DP s number of fractured data is 1.3x of sum of s due to more SRAF requirement. Fabrication load for writing time is tougher than. (4.1). Photomask inspection load As well as fabrication load, smaller MEEF have to lead less sensitivity of defect printing. There are few differences of the sensitivity of defect printing between and DP for only dense features due to the DP s condition. In terms of false Proc. of SPIE Vol O-9

10 defect, there are also few differences between and DP. But if higher NA used that reduces MEEF of critical pattern, DP s inspection sensitivity is able to be lowered. Then inspection load for DP is lighter than. 5. Summary - MEEF of DP is.8x smaller than for logic 3nm-node furthermore.6x smaller if 1.35NA used - DPL decomposition software is ready for production - DP mask fabrication load is heavier for writing - Less inspection sensitivity is applicable for DP but not that reduces false counts if higher NA used for DP - DP for available condition is confirmed as one option for logic 3nm-node 6. Probe Further Whole DPL flow will be confirmed. - decomposing practical 3nm node design & OPC - verifying mask fabrication & inspection load 7. Acknowledgement Authors would like to specially thanks to co-workers in Synopsys & DNP who supported data preparation or mask fabrication. Imai-san in DNP who supported and advised us about mask inspection. References: 1. itrs6 : public.itrs.net or etc.. M. Dusa, et. al. "Pitch Doubling Through Dual Patterning Lithography Challenges in Integration and Litho Budgets", Proc. of SPIE, Vol. 65 (7) 3. J. Klerk, et al, "Performance of a 1.35NA ArF immersion lithography system for 4nm applications", Proc. of SPIE, Vol.65 (7) 4. J. Park, et al, "Application Challenges with Double Patterning Technology (DPT) beyond 45nm", Proc. of SPIE, Vol.6349 (6) 5. T. Adachi, et al, "45-3nm node photomask technology with water immersion lithography", Proc. of SPIE, Vol (6) 6. K. Lucas, et al, "Patterning control budgets for the 3nm generation incorporating lithography, design and RET variations", Proc. of SPIE, Vol. 65 (7) Proc. of SPIE Vol O-1

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Effects of grid-placed contacts on circuit performance

Effects of grid-placed contacts on circuit performance Title Effects of grid-placed contacts on circuit performance Author(s) Wang, J; Wong, AKK Citation Cost and Performance in Integrated Circuit Creation, Santa Clara, California, USA, 27-28 February 2003,

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Post-OPC verification using a full-chip Pattern-Based simulation verification method Post-OPC verification using a full-chip Pattern-Based simulation verification method Chi-Yuan Hung* a, Ching-Heng Wang a, Cliff Ma b, Gary Zhang c, a Semiconductor Manufacturing International (Shanghai)

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information