Optimizing FinFET Structures with Design-based Metrology

Size: px
Start display at page:

Download "Optimizing FinFET Structures with Design-based Metrology"

Transcription

1 Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir Azordegan, Gordon Abbott, and Zeev Kaliblotzky, KLA-Tencor Corporation Considering the engineering challenges in developing a reliable high-k gate stack that limits leakage current for planar transistors, fin field effect transistor (FinFET) structures may actually be needed at the 65-nm node. The decreasing sizes of FinFETs make it particularly important to obtain good 2D and 3D pattern fidelity in lithography and etching. This article examines characterization of a detailed 2D layout and creation of a complete model of the lithographic process using design-based metrology (DBM). This model can be used for model-based biasing of the FinFET structure. Introduction The characterization of fin field effect transistor (FinFET) structures, or other two-dimensional (2D) designs, becomes important with the decreasing sizes in future technologies. Robust measuring methods are therefore needed to characterize the changing shape of the structure during the different process steps. A good metrology approach is also important for the creation of robust simulation models. These models predict how a design will be patterned in resist. Some typical concerns for FinFETs that need characterization are: the rounded corner (top-down view); fin width variation through pitch and as function of fin length; line edge roughness (LER); and sidewall roughness. They all have an impact on the performance of the FinFET device. The magnitude of the rounded corner decreases the final length (or, source-drain distance) of the FinFET (Figure 1A). One of the problems stemming from this rounding phenomenon is the significant increase in fin width W when the fin length L is decreasing (Figure 1B). Variation in fin width, due to the rounding of the fin opening, will impact short channel effects. This effect increases for shorter fin lengths. 1 As for most structures, critical dimension (CD) variations through pitch (Figure 1C) and as a function of fin length are undesirable, because they render the devices non-reliable. 1 Since LER and sidewall roughness have an influence on electrical behavior, it s also important to control them and keep them as low as possible. 2,3 (LER and sidewall roughness will not be addressed in this paper). Different methods can be used to reduce some of these effects. 4 For example, adding serifs in combination with a conventional illumination, or applying strong off-axis illumination settings, like annular, will reduce the rounding of the corners. But, what will happen with the proximity behavior? The annular exposure setting will deteriorate the fin width variation through pitch, while the effect of using conventional illumination on the through pitch behavior will be smaller. Many variables play a role in the optimization of a 2D pattern, some with a larger effect than others. Two simple exposure settings will be tested in this first case: a conventional one and an annular one (the latter in combination with some basic serif introduction). Since a full characterization of the 2D structure is wanted, design-based metrology (DBM) is introduced to decrease the effort that the creation of the measurement job takes. DBM creates an automatic CD scanning electron microscope (SEM) job with hundreds of sites, starting from the design in GDS format. This development takes approximately one hour, whereas an engineer will spend several hours behind the SEM to create the job manually. DBM is used here in combination with an an off-line measure- 6 Yield Management Solutions

2 Figure 1: The effect of the rounded corner: a) on the length of the FinFET. Illustrated with a 0.63NA, conventional 0.89s exposure (upper-left), and a 0.75NA, annular 0.89 outer-s and 0.65 inner-s (upper-right); b) as a function of the decreasing length of the FinFET; c) due to proximity effects, isolated features are printed smaller than the dense. In the FinFET structure, this proximity effect is seen between the inner (dense) and outer (semi-isolated) fins. ment tool, enabling image-based measurements on SEM images, to further simplify the process. For two exposure settings, the 2D behavior of the FinFETs is studied intensively to build a resist model that will be used to optimize future reticle designs at IMEC. Experimental setup All exposures are performed on an ASML PAS5500/1100 step-and-scan system, interfaced with a TEL Clean Track Act8. Maximum numerical aperture (NA) is The total system is charcoal-filtered to prevent airborne base contamination. Top-down CD SEM metrology is done using a KLA-Tencor ecd-2 CD SEM tool. For the baseline technology integration work (front-end of line (FEOL)), a 193 nm resist from JSR, AR237J at 230 nm film thickness (FT), is used on Brewer Science ARC29a organic bottom anti-reflective coating (BARC), FT = 77 nm. The stack for FinFET patterning (or, the active layer) is 65 nm silicon on 150 nm buried oxide (or, silicon-on-insulator (SOI) stack). A 60 nm TEOS oxide hard-mask (HM) is used during the patterning process for two reasons: to provide etch resistance for the silicon etching and to enable CD (HM) trimming. A binary mask (BIM) is used to print an active pitch of 350 nm; the CD at mask level is 120 nm. The litho target is set at 100 nm. This target is chosen to have acceptable process latitudes (CD control) in litho. Two different modules are used in the experiments. On the one hand, different actual FinFET devices are explored for a full characterization. On the other hand, regular Mentor Graphics test pattern structures are used to build the resist model. Two parameters in the FinFET device are fixed: the width of the fins and the pitch, 120 nm and 350 nm, respectively (both 1X on reticle). Three other parameters in the FinFET device are varied throughout the experiment. The first one is the length of the fin: the shortest is 180 nm and the longest is 1,45 µm (see Figure 6). The second variable is the biasing of the width of the outer fin in a multiple fin structure (see Figure 7). The outer fin width is varied from 90 nm to 150 nm in steps of 10 nm. The last variable is the placement of the serifs (See Table 1 and Figure 8). The size of the serif is in all cases 90 nm by 90 nm. Two kinds of placements are present. In the first, the placement consists of the serif symmetric with respect to the corner (called OPC2), i.e. overlap in x- and y-direction is the same. The overlap is 75 nm, meaning that 15 nm of the original design is removed in both directions. In the non-symmetric case (called OPC1), the overlap in y-direction is decreased; 40 nm is removed from the original design. OPC0 OPC1 OPC2 No serifs 90 nm X 90 nm 90 nm X 90 nm Non-symmetric Symmetric (with (with respect to respect to the corner) the corner) Table 1: Sizes and placement of serifs on the FinFET design. Two exposure conditions are studied in more detail: a 0.63NA conventional 0.89s and a 0.75NA annular 0.89 outer s and 0.65 inner s. 7

3 Methodology DBM methodology The DBM tool used here was developed by KLA-Tencor. 5 As input, it requires the GDS of the design and the coordinates of the measurement sites. With the coordinates of the sites of interest in the design, the DBM tool creates the pattern recognition templates for each site (Figure 2). The 2D pattern on the clip is compared with the 2D pattern on the wafer until an overlap between the two is found (the pattern recognition). At this point, the DBM tool has all it needs to create the automatic CD SEM measurement: coordinates of the positions and pattern recognition templates. If a measurement is needed, the tool defines by itself which measurment algorithm is used. There is also the possibility to acquire SEM images at each site for further analysis, as an off-line measurement on SEM images is available. Figure 2: Diagram of DBM tool creating a CD SEM job. As input, only a design in GDS format and coordinates of the measurement sites are needed. The off-line measurement tool KLA-Tencor has developed an off-line measurement tool that enables indirect measurements based on the saved SEM image. Possible measurement algorithms are: minimum or maximum gap width, the rounded corner algorithm, a contact-hole algorithm, and a line-width algorithm. All algorithms can measure multiple structures on an image, as shown in Figure 3. The minimum gap width algorithm is used later in the work to determine the smallest fin width. This approach is preferred because the standard line-width algorithm gives an average value of the line-width in the chosen measurement box. This means that any rounding along the length of the fin is not taken into account. The maximum gap width algorithm is applied to measure the fin length. The rounded corner algorithm determines the magnitude of the rounding (top-down) of the corners in the FinFET device. Moreover, the goal is to use all these Figure 3: a) minimum gap; b) maximum gap; c) rounded corner. results to build a first resist model to optimize future FinFET designs. A useful feature in this off-line measurement tool is the ability to measure several similar sites in batch mode. Creation of the resist model The software package used for the model building in this paper is Calibre WorkBENCH from Mentor Graphics. The 216 sites in the Mentor Graphics line test module are automatically measured by combining the two tools described previously. For every change in exposure setting, resist and/or substrate stack, new measurements are needed for the calibration of the model. First a setup file is created, including the information of exposure setting and substrate. Then a default resist model is used to simulate the 216 sites of interest to compare them with the measured data. Immediately, the Model Flow Tool of Calibre creates a new resist model. A few iterations are needed to define the best agreement. Between two iterations, it is useful to check which sites have a good or bad correlation by using the Model Center of Calibre. The bad ones can be removed if there is doubt on the measured value. Finally, a bestfit resist model is defined. Results and discussion In total, 364 sites of interest are defined in the chip design to characterize the 2D behavior of the FinFET and to build the resist model. The CD SEM measurement was made by using the DBM tool since, as indicated before, it takes a lot of time to create the CD SEM measurement parameters manually. Characterization of the FinFET structure In this section, the FinFET will be described through discussion on: the rounded corner (top-down view), the fin width versus length, and fin width versus pitch. 8 Yield Management Solutions

4 Rounded Corner One of the main concerns with decreasing the size of the fins is the magnitude of the rounded corner, since it has an impact on the effective length and width of the shorter fins. The rounding of the fin is characterized by the difference in area between the edge of the FinFET and the surrounding rectangle. The result for the four corners is added up. This can be done automatically by using the rounded corner algorithm on the off-line measurement tool. By moving towards off-axis illumination settings such as annular or quasar, the corners become more squared (Figure 1A). 4 As noted previously, two exposure conditions are used: a 0.63NA, conventional 0.89s and a 0.75NA, annular 0.89/0.65 s o /s I. The magnitude of the rounded corners is smaller for the fins shorter than 750 nm when an annular exposure setting is used. This is not the case for the longer fins; both illumination settings give a similar result. The magnitude of the rounding increases with increasing fin length, independent of the exposure setting (Figure 4). The reason why a larger rounding is observed for the longer fin is that these corners are smoother. They have a longer tail compared to the shorter fins (Figure 5). Figure 4: The magnitude of the rounding versus the fin length L for OPC0 (no serifs present). Two exposure settings are compared: a 0.63NA, conventional 0.89s and a 0.75NA, annular 0.89/0.65 s o /s I. Introduction of serifs decreases the magnitude of rounded corner. This can be seen immediately and visually in Top Down SEM (TD-SEM) (Figure 6). Analysis with the rounded corner algorithm results in the same conclusion (Figure 7). As before, the magnitude of the rounded Figure 6: The FinFETs with and without serifs on design, on reticle and printed in resist with a 0.75NA, annular 0.89/0.65 s o /s I illumination setting. Figure 7: The magnitude of the rounded corner versus the fin length L for the different OPC versions (a 0.75NA, annular 0.89/0.65 s o /s I ). Figure 5: Rounding is more pronounced for the longer fins due to a longer tail from one edge center to the other center. Figure 8: The magnitude of the rounded corner versus the fin length L for OPC1. Two exposure settings are compared (0.63NA, conventional 0.89s and 0.75NA, annular 0.89/0.65 so/si ). The same effect is observed for OPC2. 9

5 corners is smaller for the fins shorter than 750 nm when an annular exposure setting is used (Figure 8). But for the longer fins, both exposure settings give the same result when serifs are used, as has been seen when there are no serifs used. The use of off-axis illumination settings, like annular, helps in filtering out the part of the light falling in the NA pupil that is not relevant to the imaging of the densest structures. This improves the contrast, and thus the imaging, of smaller pattern details, like corners. Width versus length of the fin Another concern is the width variation as a function of varying fin length induced by optical proximity effects. The length and width of the fin can be measured with a standard line/space width CD measurement algorithm or with the newly developed minimum/ maximum gap width algorithm. Fins with a length below 530 nm are chosen to be checked for fin width variation caused by varying length. The same region will be used to characterize the width variation caused by the difference in pitch, seen in FinFET devices as the width variation between inner (dense) and outer (semi-isolated) fin. First, the influence of varying length on the width of the fins is checked. The range in width variation in the region of interest ( nm length) is 60 nm for the conventional exposure and 71 nm for the annular exposure (Figure 9). Part of this difference is due to the impact of the rounded corner on the width for shorter fins. The larger range of the annular exposure setting (10 nm) is probably due to the larger proximity effect when an off-axis exposure setting is used. Comparing the lengths of the fins for the two different exposures shows that for the annular setting the difference with the designed length is smaller. Figure 9: Fin width as function of the designed length (OPC0). The change is larger for 0.75NA, annular 0.89/0.65 s o /s I than for 0.63NA, conventional 0.89s. As previously shown, introduction of serifs has a positive effect on the rounded corners. But how will it influence the width variation induced by the length of the fin? The length of the fin increases when serifs are used in the design. The increase is most pronounced for OPC1 (or, the non-symmetrically placed serifs). The influence of the serifs on the width variation induced by the length of the fin is large. For example, together with conventional exposure, the width variation caused by the length has completely disappeared in the region of interest (Figure 10). So, this confirms what was stated before, A part of this width difference is due to the impact of the rounded corner on the width for shorter fins. In the case of OPC2 (or, symmetrical serifs) in combination with conventional exposure, the shorter fins become even smaller than the longer ones. Also, when annular exposure is used, a large improvement is seen (Figure 10), but a width variation of 20 nm is still observed. Figure 10: Smaller proximity effect for both OPC1 and OPC2, with: a) 0.63NA, conventional 0.89s; b) 0.75NA, annular /0.65 s o /s I. 10 Yield Management Solutions

6 For both exposure settings, the necessary bias is decreased when serifs are placed. For the conventional exposure, no bias is needed. Also, for the annular setting, the bias is decreased to 20 nm bias (an outer fin of 140 nm). The effect for the non-symmetric and symmetric serifs is approximately the same. Building a resist model The combination of DBM with the off-line measurement tool is powerful for characterizing 2D patterns. It is also useful in retrieving the needed measurements for the creation of a resist model. On the used chip design to pattern the FinFET devices, there is also a Mentor Graphics line test pattern available. This pattern consists of 216 different sites: isolated lines, dense line patterns, and end-of-line structures (Figure 12). These structures have also been used to build a resist model. Figure 11: Ratio of inner and outer fin width versus the designed width of the outer fin: a) 0.63NA, conventional 0.89s; b) 0.75NA, annular /0.65 s o /s I. Width versus pitch Known proximity effects for standard line and space patterns will also play a role here and will become larger when off-axis illumination settings are used. In this paper, the pitch of the FinFET device is fixed at 350 nm, but the proximity effect is seen as a difference between inner and outer fin (Figure 1C). This result can be presented in two ways. The first approach is to plot the fin widths versus length. If the same width on design is used for inner and outer fin, the inner fin is printed larger than the outer fin. This is more pronounced for the shorter fins. A second representation is to plot the ratio between inner and outer fin width (Figure 11). In this way, it s possible to define the best bias needed for the outer fin to print all fins on target (ratio = 1). When using conventional exposure, a bias of 10 nm on the outer fin (an outer fin of 130 nm) is enough to compensate for the optical proximity. When the annular setting is used, a bias of 30 nm is needed to compensate for this effect. Figure 12: Example of the different patterns in the Mentor Graphics line test As mentioned before, it is not really user-friendly to define the CD SEM measurement needed for this type of work manually. Thus, the DBM tool is used to automate it. The sites are grouped per similar design, because for most similar sites it is possible to do the analysis with the off-line measurement tool in batch mode. The CD data together with the coordinates and specifications of the sites are put in a data file format, readable as a sample file within Calibre. module: a) dense lines; b) dense line ends; c) an isolated line end; and d) an inverse line end. 11

7 Unfortunately, for every change in exposure setting, resist, and substrate stack, new measurements are needed for the recalibration of the model. The fitting is done using the Model Flow Tool and the Model Center Tool of Calibre WorkBENCH, as explained previously. Once a final model is defined, it is verified with the experimental data via two methods: top-down comparison of the model with the SEM image (a visual comparison) and a comparison of the actual measurements on wafer with measurement results retrieved from the simulated clips. As an example, the results for 0.63NA, conventional 0.89s are shown (Figure 13). The correlation between the measured data and the model is The simulated 2D profiles overlap very well with the SEM images. A slight necking is observed on the simulated edge contour plots for the longer fins, but it is not present on the SEM images. This overlap illustrates the usefulness of this approach to check the accuracy of a resist model. As a second check, actual top-down views on wafer are compared with simulated clips. The magnitude of the rounded corner gives similar results for both cases. For the longer fin lengths alone, the magnitude of the rounded corner is slightly smaller on the simulated clips than on the actual CD SEM images. This occurs since the tail (seen before on longer fins, Figure 5) on the simulated clips is not as long as on the real pattern in resist. The model correlates very well with the actual data and will now be used to optimize future FinFET designs to decrease optical proximity effects. Conclusions The combination of design-based metrology with off-line image-based measurements is a useful tool to describe different parameters of a 2D pattern. The capability for 2D characterization is shown using a FinFET pattern. Rounded corner, width variation, introduction of serifs, biasing, and different exposure settings are the parameters that have been varied to gain an understanding of the patterning behavior of the 2D structure. A conventional illumination combined with serifs seems to be the best choice for the chosen design (120/350 nm - width/pitch). This exposure condition is beneficial for the decrease in width variation and to provide a less rounded corner. When smaller FinFETs are needed in the future (not only in width, but also in density), an annular setting will give better resolution and a bias will be needed to tackle the proximity effect. The combination of DBM and off-line image-based measurements is also useful to retrieve the input needed for the creation of a resist model. It is shown that a resist model indeed can predict the patterning in resist (etch is not included in this paper). Acknowledgments The authors would like to thank Nadine Collaert (IMEC integration) and Ivan Pollentier for their useful discussions, as well as the algorithm group at KLA-Tencor for their support. References 1. S. Xiong and J. Bokor, Sensitivity of double-gate and FinFET devices to process variations, IEEE Trans. Electron Dev. 50 (11), p , J. Croon et al., Line Edge Roughness: Characterization, Modeling and Impact on Device Behavior, IEDM, Electron Devices Meeting, p , L.H.A. Leunissen et al., Full Spectral Analysis of Line Width Roughness, SPIE, Vol5752, p , M. Ercken et al., Challenges in Patterning 45nm Node Multiple-Gate Devices and SRAM cells, Interface C Bevis Design driven inspection or measurement for semiconductor using recipe US 6,886,153 (2005) This paper was originally published at INTERFACE 2005, the FUJI FILM Electronic Materials Microlithography Symposium. 12 Yield Management Solutions

8 May 22 24, 2006 Sheraton Hotel Boston, Massachusetts, USA The 17th Annual IEEE/SEMI ASMC 2006 Advanced Semiconductor Manufacturing Conference People Processes Controls ASMC 2006 continues the rich and established tradition of this premier conference dedicated to unveiling breakthroughs in semiconductor manufacturing from wafer fab productivity and profitability to advanced process controls and device yield. With more than 90 peer-reviewed technical papers, and poster sessions, ASMC 2006 attracts engineers and managers from around the world.to register visit us at Media Sponsors: Sponsored by:

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

22-NM CMOS DESIGN LIMITS

22-NM CMOS DESIGN LIMITS EMCA report 22-NM CMOS DESIGN LIMITS Céline MATHIAS, cmathias@etud.insa-toulouse.fr 4th year in Automatic control and electronic systems engineering Year 2010-2011 22-NM CMOS DESIGN LIMITS Abstract: This

More information

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

Introducing 157nm Full Field Lithography

Introducing 157nm Full Field Lithography Introducing 157nm Full Field Lithography A.M. Goethals, P. De Bisschop, J. Hermans, R. Jonckheere, F. Van Roey, D. Van den Heuvel, A. Eliat and K. Ronse IMEC, Kapeldreef 75, 3001 Leuven, Belgium 157nm

More information

Large Area Interposer Lithography

Large Area Interposer Lithography Large Area Interposer Lithography Warren Flack, Robert Hsieh, Gareth Kenyon, Manish Ranjan Ultratech, Inc 3050 Zanker Road, San Jose. CA. 95124 wflack@ultratech.com +1 408-577-3443 John Slabbekoorn, Andy

More information

PROCEEDINGS OF SPIE. Evolution in the concentration of activities in lithography

PROCEEDINGS OF SPIE. Evolution in the concentration of activities in lithography PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Evolution in the concentration of activities in lithography Harry J. Levinson Harry J. Levinson, "Evolution in the concentration

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information