Business Unit Electronic Materials

Size: px
Start display at page:

Download "Business Unit Electronic Materials"

Transcription

1 Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau, Inge Vermeir, Christoph Hohle Infineon, Dresden, Germany Will Conley, and Daniel Miller Intl. SEMATECH, Austin, TX Toshiro Itani, Masato Shigematsu, Etsuro Kawaguchi SELETE, Tsukuba, Japan

2 Outline Introduction BOCME-TFR Imaging Effect of PAG and Base Concentrations Comparison BOCME-TFR and MOM-TFR Effect of PAG BOCME-TFR base optimization Barrier Coat Use Imaging with MS-VII IMEC INVENT Etching of BOCME-TFR Update and Summary

3 Outline Introduction BOCME-TFR Imaging Effect of PAG and Base Concentrations Comparison BOCME-TFR and MOM-TFR Effect of PAG BOCME-TFR base optimization Barrier Coat Use Imaging with MS-VII IMEC INVENT Update and Summary

4 Second Generation 157 nm Resist Chemistry BOCME protected Poly(3- (bicyclo[2.2.1]hept-5-en-2-yl)-1,1,1- trifluoro-2-(trifluoromethyl)propan-2-ol) F 3 C HO CF 3 Rf 3 BOCME protected Transparent Fluorinated Resin (TFR) Rf 3 Rf 1 Rf 2 Rf 1 Rf 2 R m 1 n m n x yx R 1 H 2 C F 3 C CF 3 O CH 2 O x H 3 C O CH 3 CH 3 BOCME-F1 y Rf OH Rf 1, Rf 2 = Fluorinated spacer group Rf= Fluorinated pendant group R 1 = Alkyl or Fluoro spacer group n,m=0-1 BOCME-TFR Rf O CH 2 No negative tone behavior! O O CH 3 H 3 C CH 3

5 Other Polymers Studied Methoxylmethyl (MOM) protected Transparent Fluorinated Resin (TFR) Rf 3 Rf 3 Rf 1 Rf 2 Rf 1 Rf 2 R m 1 n m n x yx R 1 Rf OH Rf O Rf 1, Rf 2 = Fluorinated spacer group Rf= Fluorinated pendant group R 1 = Alkyl or Fluoro spacer group n,m=0-1 CH 2 O CH 3 MOM-TFR

6 Processing and Exposure of Resist Formulations SEMATECH Experimental Conditions Exitech Microstepper, 157 nm, NA = 0.85 σ = 0.3 (for alt. PSM) Ebara Box used for transfer of wafers to and from the coater and exposure tool and to PED studies Selete Experiment condition Exitech Microstepper L/S NA= 0.85 and 0.9, Sigma 0.3, alt PSM Linked track, PED studies done at ppb amine At both location the doses are not corrected for σ (i.e. divided by ~4.5) BOCME-TFR (135 nm, 150 nm) 900 Å Organic BARC PAB 135 o C/60 s, PEB 115 o C/90s 2.38% TMAH 30 sec

7 Effect of PAG and Base 1350 A 900 A Organic BARC PAB 135 o C/60s PEB 115 o C/90s Develop 30 s 0.26 N TMAH

8 Effect of PAG Loading MOM vs BOCME ABS 157 (micron-1) BOCME-TFR MOM-TFR 0% 50% 100% PAG %

9 Effect of PAG Loading MOM MOM effect of PAG Dark Erosion MOM effect of PAG Contact Angle Dark erosion % % 50% 100% PAG % Contact Angle % 50% 100% PAG %

10 Effect of PAG Loading BOCME BOCME effect of PAG Dark Erosion% BOCME effect of PAG Contact Angle Dark erosion % % 50% 100% PAG % Contact Angle % 50% 100% PAG %

11 Effect of PAG Loading on Contrast BOCME vs MOM 8 BOCME effect of PAG Contrast MOM effect of PAG Contrast % 50% 100% Contrast % 50% 100% PAG % PAG %

12 Effect of PAG Level on Resolution Dose (70 nm relaxed pitches) BOCME vs MOM BOCME MOM Resolution dose (mj/cm2) PAG % Resolution dose (mj/cm2) PAG %

13 Effect of PAG loading resolution power 67% MOM 70 nm 1:1.5 15% 25% 35% 50% 89 mj/cm 2 1 to 1.5, 81 nm 75% 75 mj/cm 2 1 to 1.5, 69 nm 100% 83.2 mj/cm 2 1 to 1.5, 59 nm 79.5 mj/cm 2 1 to 1.5, 73 nm 59.6 mj/cm 2 1 to 1.5, 59 nm 49.9 mj/cm 2 1 to 1.5, 61 nm

14 Effect of PAG loading resolution power 67%BOCME, 70 1:1.5 15% 35% 42.5% 50% 92.4 mj/cm 2 1 to 1.5, 70 nm 75% 73.7 mj/cm 2 1 to 1.5, 67 nm 100% 65.5 mj/cm 2 1 to 1.5, 69 nm 58.5 mj/cm 2 1 to 1.5, 73 nm 64.8 mj/cm 2 1 to 1.5, 59 nm 70.3 mj/cm 2 1 to 1.5, 63 nm

15 Summary of PAG Loading Studies Absorbance As expected Absorbance decreases in line with PAG loading for both BOCME-TFR and MOM-TFR Resolution dose For BOCME-TFR decreases with decreasing PAG Level until 50%, lower levels then lead to increasing dose. PAG segregation towards resist surface at higher loadings with residual PAG affecting resolution? Contact angle concurs with this. For MOM-TFR increases with decreasing PAG level. Contrast MOM-TFR: Decreases sharply with decreasing PAG loading Strong DI-PAG interactions strongly influence imaging Dark erosion and contact angle behavior at higher PAG loadings may indicate PAG segregation away from resist surface at high loadings BOCME-TFR: Does not change significantly with decreasing PAG loading Imaging mainly predicated by t-bu-o-co-ch 2 / HOCO-CH 2

16 TFR-BOCME 57%, Comparison of 70 nm 1:1.5 L/S performance PAG 100 %Base 30% PAG 50% Base 30% PAG 50% Base 75% 31.6 mj/cm 2 1 to nm ABS=1.16 PAG 100% Base 75% 44.8 mj/cm 2 1 to 1.5, 89 nm ABS= mj/cm 2 1 to 1.5, 75 nm ABS= mj/cm 2, 1 to nm ABS=1.16

17 Comparison of 60 and 70 nm L/S on Organic BARC for a 67% BOCME-TFR Formulation with 75 % Base BOCME-TFR 67%, 100% PAG, 75% Base 60 nm L/S 78.7 mj/cm 2 1 to 1, scum 70 nm L/S 78.7 mj/cm 2 1 to 1, 72 nm BOCME-TFR 67%, 50% PAG, 75% Base 60 nm L/S 63.5 mj/cm 2 1 to 1, 63 nm 70 nm L/S 63.5 mj/cm 2 1 to 1, 65 nm

18 AZ (R) EXP X25-( B) FT=150nm 1:1 L&S 0.87 AU/µm

19 PEB Dependence AZ (R) EXP X20: High PAG Level, High Base Level 1:1 and 1:3 L/S at 74.5 mj/cm 2 1:1.5 and 1:2 L/S at 65.5 mj/cm2 (115 o C) CD ( nm) Temperature (oc) 70 nm 1:1 70 nm 1: nm 1:2 70 nm 1:3 1.1 nm/ o C 1:2 L/S

20 Change of CD with Temperature AZ (R) EXP X20 Low PAG, High Base CD(nm) nm per o C 1to1.5 1to2 1to3 1to Temperature (oc)

21 Delay with Base Soluble Barrier Coat with AZ (R) EXP X25 50% PAG 1, 75 % Base No Barrier Coat Barrier Coat 0 min 7 min 14 min 70 nm 1:1 1: mj/cm 2 PAB/PEB135 o C/115 o C 70 nm 1:1 1: mj/cm 2 PAB/PEB135 o C/115 o C

22 Delay with Developer Soluble Barrier Coat employed with AZ (R) EXP X25 50% PAG 1, 75 % Base 0 min Barrier Coating 14 min 70 nm 65 nm 60 nm 64.5 mj/cm 2 PAB/PEB135 o C/115 o C

23 Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau, Inge Vermeir, Christoph Hohle Infineon, Dresden, Germany Will Conley, and Daniel Miller Intl. SEMATECH, Austin, TX Toshiro Itani, Masato Shigematsu, Etsuro Kawaguchi SELETE, Tsukuba, Japan

24 70nm AZ EXP B/ NO Barrier Coat PED 1m FT=150nm 1:1 L&S CD-SEM 123mJ/cm2 0 min 1 min Cross section 70nm CD-SEM 156mJ/cm2 Cross section 65nm 123mJ/cm2 65nm 156mJ/cm2 60nm 123mJ/cm2 60nm 156mJ/cm2 120mJ/cm2 55nm 55nm 129mJ/cm2 50nm 50nm With Thanks to Selete for Exposures

25 AZ EXP B/Barrier Coat PED 1m FT=150nm 1:1 L&S 1 ppb 70nm 144mJ/cm2 0 min 1 min 70nm CD-SEM Cross section 144mJ/cm2 65nm 144mJ/cm2 65nm 144mJ/cm2 60nm 144mJ/cm2 60nm 144mJ/cm2 55nm 147mJ/cm2 55nm 147mJ/cm2 50nm 50nm With Thanks to Selete for Exposures

26 Exposure with MS-VII

27 Clariant Resist AZ (R) EXP X25 (61B) on DUV30 Micrascan VII 157 m Exposure NA 0.75 σ 0.85 Binary Reticle 1:1.5 Group Lines Focus -100nm Dose 139mJ/cm A test 100nm 90nm 130nm 80nm With Thanks to ASML Wilton

28 ASML Micrascan-VII IMEC Belgium ASML Micrascan VII 0.75 NA Binary Mask Quadrapole Illumination 0.7 s, center 0.15 s radius Resist thickness 150 nm BARC 72 nm NCA AZ (R) EXP 25X PAB 135 o C/60s, PEB 115 o C/90 s, 150 nm AZ (R) EXP 20X PAB 135 o C/60 s, PEB 127 o C/90 s, 150 nm With Thanks to IMEC for Exposures

29 Vancouver With Canada Thanks to IMEC for Exposures ASML Micrascan VII IMEC Belgium

30 ASML Micrascan-VII AZ (R) EXP X25 (high PAG) No Barrier Coat 95 nm 1:1 L/S 90 nm 1:1 L/S mj/cm mj/cm 2 PAB 135 o C 60s PEB 115 o C 90s ASML micrascan VII With Thanks to IMEC for Exposures

31 ASML Micrascan-VII AZ (R) EXP X25 (high PAG) No Barrier Coat 95 nm 1:1 L/S 90 nm 1:1 L/S 163 mj/cm mj/cm 2 PAB 135 o C 60s PEB 115 o C 90s With Thanks to IMEC for Exposures

32 AZ (R) EXP X25 (high PAG) Barrier Coat 95 nm 1:1 L/S nm F = N+2 F = N+1 F = N Thickness resist after coat: 150nm Thickness TARC after coat: 35nm nm nm 163 mj/cm 2 PAB 135 o C 60s PEB 115 o C 90s F = N-1 Foptimum? F = N-2 With Thanks to IMEC for Exposures

33 ASML MS-VII AZ (R) EXP X25 (high PAG) Barrier Coat 90 nm 1:1 L/S F = N nm F = N nm mj/cm 2 F = N nm PAB 135 o C 60s PEB 115 o C 90s nm F = N nm F = N nm With Thanks to IMEC for Exposures

34 ASML M-VII AZ (R) EXP X25 (low PAG) with Barrier Coat 95 nm 1:1 L/S 90 nm 1:1 L/S 85 nm 1:1 L/S 180 mj/cm mj/cm mj/cm 2 The same formulation without barrier coat does not resolve any of these features! PAB 135 o C 60s PEB 115 o C 90s With Thanks to IMEC for Exposures

35 AZ (R) EXP X25 (low PAG) with Barrier Coat 95 nm 1:1 L/S 180 mj/cm 2 F = N nm F = N nm nm PAB 135 o C 60s PEB 115 o C 90s nm F = N nm nm With Thanks to IMEC for Exposures

36 AZ (R) EXP X20 (High PAG) with no Barrier Coat 95 nm 1:1 L/S nm 110 mj/cm 2 F = N nm F = N nm nm PAB 135 o C 60s PEB 127 o C 90s nm F = N nm nm With Thanks to IMEC for Exposures

37 AZ (R) EXP X20 (High PAG) with no Barrier Coat 90 nm 1:1 L/S 110 mj/cm 2 F = N nm F = N nm nm PAB 135 o C 60s PEB 115 o C 90s nm F = N nm nm With Thanks to IMEC for Exposures

38 August 2004 International Symposium Immersion and 157nm Lithography With Vancouver Thanks Canada to Infineon for Exposures Summary of Work at INVENT Albany Resist: AZ (R) EXP X25 AZ (R) EXP X20 Topcoat: AZ (R) EXP TARC IV a 22 nm BARC:DUV 30J 85 nm PAB: 135/60 X20; 160/60 X25 PEB: 115/90 X20; 127/90 X25 Tool: MSVII Illumination: 0.75 NA, Quadrupole 0.3 sigma

39 August 2004 International Symposium Immersion and 157nm Lithography With Vancouver Thanks Canada to Infineon for Exposures

40 August 2004 International Symposium Immersion and 157nm Lithography With Vancouver Thanks Canada to Infineon for Exposures

41 August 2004 International Symposium Immersion and 157nm Lithography With Vancouver Thanks Canada to Infineon for Exposures MSVII with PSM (sigma 0.3)

42 August 2004 International Symposium Immersion and 157nm Lithography With Vancouver Thanks Canada to Infineon for Exposures MSVII with PSM (sigma 0.3)

43 Patterned Etch Experiments with AZ (R) EXP 20X (BOCME-TFR)

44 Experimental Exposure Conditions Exposure for Patterned Etch Experiments CD-target: 120nm; L/S 1:1.25 Mask: binary (TM99) Exposure: ASML 5500/1100 (IMEC) Illumination: NA 0,75 / sigma: 0,89 / 0,59 annular Dose: 25mJ/cm² 193 nm Stack: Infineon Hard Mask Stack Developer: OPD 262; w/o surfactant; 60sec BARC: none Resists: Clariant AZ EXP X20 SB / PEB: 135 C / 115 C FT of resist: 135 nm Data from M. Markert N. Stepanenko U. Mierau Infineon

45 Comparison: 120nm (L/S 1:1.25), Wafer Center Post litho 135nm AZ EXP 20X 25nm HM1 200nm HM2 700nm SiO 2 Substrate Si Top resist: HM1: HM2: Line width: 123 nm Pitch: 272 nmsio 2 : 96 nm 24 nm 193 nm 633 nm Post HM1 etch Top resist: HM1: HM2: Line width: 133 nm Pitch: 275 nmsio 2 : (80 nm) (24 nm) 186 nm 635 nm Post HM1 and HM2 etch Line width: 125 nm Pitch: 273 nm Top resist: HM1: HM2: SiO 2 : 0 nm (25 nm) (200 nm 626 nm

46 Summary Etch capability of AZEXPX20 on Hardmask Stack proven for relaxed Pitches / CDs Further Investigations of smaller Patterns: Determination of Etch Rate cp. to ArF resists 157nm Exposures on MSVII <70nm dense patterns Investigations of etch bias

47 Summary Better resolution is obtained with BOCME-TFR resins containing ~50% PAG compared to 100% PAG Imaging as low as 55 nm 1:1 L/S with an alternating phase shift mask (NA 0.9) 100% PAG formulations have better PED latitude and PEB sensitivity Lower loadings of base 30% give higher speed but at the sacrifice of PED latitude in a contaminated environment Use of developer soluble barrier coat can improve PED latitude Etch capability of BOCME-TFR on Hardmask Stack proven for relaxed Pitches / CDs. Initial results obtained with 157 nm wide field tool (MS-VII) are encouraging; Different solutions have been devised to take into account differing local conditions for exposure

48 Acknowledgments International Sematech for use of their facilities for imaging. Selete for permission to show the imaging results they obtained with our resist and their assistance. IMEC for their permission to show the large exposure field experiments with topcoat ASML Wilton Ct for permission to show the large exposure field pictures All, our JDP partners for supportive, constructive feedback. 55 nm dense L&S features (alt. PSM on Selete s 0.90 NA stepper)

Introducing 157nm Full Field Lithography

Introducing 157nm Full Field Lithography Introducing 157nm Full Field Lithography A.M. Goethals, P. De Bisschop, J. Hermans, R. Jonckheere, F. Van Roey, D. Van den Heuvel, A. Eliat and K. Ronse IMEC, Kapeldreef 75, 3001 Leuven, Belgium 157nm

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond EUV Workshop 2013 June 13, 2013 EUV Resist Materials and Process for 16 nm Half Pitch and Beyond Yoshi Hishiro JSR Micro Inc. No13-2400-056 Challenge for EUV Resist & JSR approaches EUV Resist Resolution,

More information

Negative tone development process for double patterning

Negative tone development process for double patterning Negative tone development process for double patterning FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Resist material progress

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

A Novel Resist Freeze Process for Double Imaging

A Novel Resist Freeze Process for Double Imaging A Novel Resist Freeze Process for Double Imaging David J. Abdallah, Eric Alemy, Srinivasan Chakrapani, Munirathna Padmanaban and Ralph R. Dammel AZ Electronic Materials Somerville, NJ USA 1 st exp 2 nd

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2, and C. Grant Willson 1 September 15, 1999 1 Department

More information

Acceleration of EUV Resist Development with EB Tool

Acceleration of EUV Resist Development with EB Tool C/N:1220710068 Acceleration of Resist Development with Tool Takeyoshi Mimura, Takako Suzuki, Makiko Irie, Takeshi Iwai TOKYO OHKA KOGYO CO., LTD. Advanced Material Development Division 1 2007 L Symposium

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Novel EUV Resist Development for Sub-14nm Half Pitch

Novel EUV Resist Development for Sub-14nm Half Pitch EUV Workshop 2015 Maui, HI P64 Novel EUV Resist Development for Sub-14nm Half Pitch Yoshi Hishiro JSR Micro Inc. EUV Workshop, June 17, 2015 1 Contents Requirement for sub-14nm HP EUV resist JSR strategy

More information

Approaching the NA of Water: Immersion Lithography at 193nm

Approaching the NA of Water: Immersion Lithography at 193nm Approaching the NA of Water: Immersion Lithography at 193nm Bruce Smith Y. Fan, A. Bourov, L. Zavyalova, J. Zhou, F. Cropanese, N. Lafferty Rochester Institute of Technology M. Gower, D. Ashworth Exitech

More information

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning 22nm node imaging and beyond: a comparison of EUV and ArFi double patterning ASML: Eelco van Setten, Orion Mouraille, Friso Wittebrood, Mircea Dusa, Koen van Ingen-Schenau, Jo Finders, Kees Feenstra IMEC:

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Beyond Immersion Patterning Enablers for the Next Decade

Beyond Immersion Patterning Enablers for the Next Decade Beyond Immersion Patterning Enablers for the Next Decade Colin Brodsky Manager and Senior Technical Staff Member Patterning Process Development IBM Semiconductor Research & Development Center Hopewell

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Critical issue of non-topcoat resist for ultra low k 1 lithography

Critical issue of non-topcoat resist for ultra low k 1 lithography Critical issue of non-topcoat resist for ultra low k 1 lithography 1 Hirokazu Kato, 1 Tomoya Oori, 1 Koutaro Sho, 1 Kentaro Matsunaga, 1 Eishi Shiobara, 1 Tsukasa Azuma, 2 Yukio Nishimura, 2 Hiroki Nakagawa,

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI,

Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI, Copyright 1999, Society of Photo-Optical Instrumentation Engineers This paper was published in Advances in Resist Technology and Processing XVI, Volume 3678 and is made available as an electronic reprint

More information