Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Size: px
Start display at page:

Download "Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)"

Transcription

1 Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition and Mask scattering (1.5X) BPP-3: Electric fields and Intensity (1.5X) BPP-4: Coupling and Standing Waves in resist (2X) BPP-5: Partial Coherence BPP-6: Integral Representation of Fresnel and Fraunhoffer Each module is a min presentation of about a dozen slides. Suggested reading: Griffin: Plummer, Deal and Chapter 5 Sheats and Smith: , , , , 121 Wong: 31-45, 55-58, 83

2 International Semiconductor Technology Roadmap Semiconductor Industry Association Road Map ASIC vs MPU CD Variation Mean+3s = 10% Alignment Mean+3s = 50% 2002 version is at PDG Fig. Ch 5

3 ASML 5500/90 Tool Fly s Eye Sigma Aperture Mask Port Condenser Lens Light path Hexagonal Light Pipe Output Objective Lens To Wafer

4 Optical Projection Printing Parameters #0 Key Parameters: λ, NA, σ Wavelength λ = 248 nm) Numerical Aperture NA = sin (θ) = 0.5 Partial Coherence Factor σ = (NAc/NAo) = 0.3

5 Parameters for Microlab Projection Printers Working Resolution Tool λ NA σ k 1 θ LEN θ ILL k 1 λ/na λ/(4na) TFR Μ nm deg deg nm nm nm Canon gh 405 GCA-g GCA-i ASML- DUV TFR = Total focus range = 2 x Rayleigh Depth of Focus = 2DOF λ M is the demagnification factor DOF = k L LINEWIDTH = k 1 NA 2 λ ( ) 2 2 NA

6 Optical System Point Spread Function Mask Lens Wafer Image of a pin hole (Diffraction limited) Relationship for electric fields The small pinhole due to its size diffracts uniformly over all angles. Pin hole This diffraction uniformly fills the lens pupil. The lens re-phases the remaining emerging rays so that they re-converge at the wafer with the same relative phases and uniform magnitude. The electric field at the waver is thus the inverse Fourier transform of a disk = Airy Function. The intensity is the time average of the square of the electric field = (Airy function) 2 The pattern shape is independent d of fthe shape of the pin hole with diameter 1.22λ/NA. The peak E is proportional to pin hole area the peak Ii is proportional lto Area 2 or (dimension) i 4.

7 Resolution in Projection Printing f = focal distance d = lens diameter Point spread function Null position F# = f/d f f 1.22λ = 0.61λ = d d 2 λ NA Minimum separation of a star to be visible. PDG Fig. Ch 5

8 Normalized Image of a Point and a Line I(x) = I o [2J 1 (ν)/ν] 2 ν = 2π(λ/NA)(x 2 x 1 ) Point Spread Function Line Spread Function 061λ/NA 0.61λ/NA

9 Resolution ~ Transverse Variation Larger angles give higher resolution φ #1 Resolution = P/2 = λ/(2 sinφ) = 0.5(λ/NA)) λ = 248 nm Assumes one wave is onaxis and the other off-axis λ TRANS = λ/sinφ = 3.22λ = 800nm The most useful rays in forming an image are those with the same pitch as the pattern Wave graphic by Ongi Englander and Kien Lam

10 Depth of Focus: Phase change on vertical axis Plane of Best Focus 4.75λ 5.0λ Plane of Rayleigh l/4 Defocus Wave graphic by Ongi Englander and Kien Lam Observe phase along a vertical line

11 Depth of Focus in Projection Printing #2 Depth of Focus = λ/(2na 2 ) Result must be modified for a) High NA, and b) Two waves at arbitrary angles. PDG Fig. Ch 5

12 DOF is needed to image over a range of device heights Photo mask Field Oxide Δ Different photo images DOF is also needed for thick resist

13 Normalized Parameters For any wavelength λ and numerical aperture NA. λ L LINEWIDTH = k1 DOF = k NA λ = 365, 248,193, 157, 13.4 nm NA = 0.167, 0.38, 0.5, 0.63, 0.7, 0.75, λ ( ) 2 2 NA Instead of recalculation for every new combination of λ and NA a universal catalog of image behavior can be utilized if we first determine the k 1 and k 2 factors in the actual system for the linewidth and defocus and look up results in a data based based on λ = 05μmandNA=0 0.5μm 0.5. L LINEWIDTH DOF = k 2 λ 0.5μm = k1 = k1 = k1μm NA 0.5 λ 0.5 μm = k2 = k2μm NA ( ) ( )

14 Optical Proximity Effect - lateral influence function E-field Point Spread Function for Coherent Imaging: illuminator Condenser lens mask Finite size of projection lens (i.e. low-pass filter) images point on mask as Airy pattern on wafer. [Airy = IFT (disk) = f(l/na)] Projection lens wafer λ/na

15 Various Types of Image Distortion Proximity effect with neighbors ihb Nonlinearity with size End shortening Corner rounding

16 Optical Proximity Correction (OPC) Called Optical Process Proximity Correction (OPP) when compensations for other process effects are included. Wong

17 OPC Feature Design Data: Binary Masks TEMPEST-CF vs. SPLAT-CF differ by 9% TEMPEST-DF vs. SPLAT-DF differ by 6% Perturbation is proportional to OPC area. in nm 1/2 (LE ES corre ection) 1/2 Wave propagation and polarization are 2 nd order for binary masks. CF = Clear Field DF = Dark Field TEMPEST-CF Line end shortening (LES) correction SPLAT-CF SPLAT-DF TEMPEST-DF equiv. size of OPC in l/na (1X) Adam, SPIE Serif

18 Hands On Exploration

19 Lava Applets: Basic Images and Focus Applications => Basic Projection Printing Input various wavelengths, NA, sigma, Input various feature types and sizes Observe k1, k2 scaling, intersection near 30% Applications => Educational => Depth of Focus In the green box on the right advance the angle (say 18 degrees) Observe the depth at which the green off-axis wavefront is a quarter cycle behind the on axis red wavefront. Click on calculate to obtain exact value Observe that the DOF decreases as the inverse of the sine of the angle.

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich LECTURE 13 DIFFRACTION Instructor: Kazumi Tolich Lecture 13 2 Reading chapter 33-4 & 33-6 to 33-7 Single slit diffraction Two slit interference-diffraction Fraunhofer and Fresnel diffraction Diffraction

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Polarization Experiments Using Jones Calculus

Polarization Experiments Using Jones Calculus Polarization Experiments Using Jones Calculus Reference http://chaos.swarthmore.edu/courses/physics50_2008/p50_optics/04_polariz_matrices.pdf Theory In Jones calculus, the polarization state of light is

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The Modulation Transfer Function (MTF) is a useful tool in system evaluation. t describes if, and how well, different spatial frequencies are transferred from object to image.

More information

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc.

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc. Chapter 34 The Wave Nature of Light; Interference 34-7 Luminous Intensity The intensity of light as perceived depends not only on the actual intensity but also on the sensitivity of the eye at different

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Computer Aided Design Several CAD tools use Ray Tracing (see

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Fiber Optic Communications

Fiber Optic Communications Fiber Optic Communications ( Chapter 2: Optics Review ) presented by Prof. Kwang-Chun Ho 1 Section 2.4: Numerical Aperture Consider an optical receiver: where the diameter of photodetector surface area

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

Diffraction. modern investigations date from Augustin Fresnel

Diffraction. modern investigations date from Augustin Fresnel Diffraction Diffraction controls the detail you can see in optical instruments, makes holograms, diffraction gratings and much else possible, explains some natural phenomena Diffraction was discovered

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Introduction to Light Microscopy. (Image: T. Wittman, Scripps)

Introduction to Light Microscopy. (Image: T. Wittman, Scripps) Introduction to Light Microscopy (Image: T. Wittman, Scripps) The Light Microscope Four centuries of history Vibrant current development One of the most widely used research tools A. Khodjakov et al. Major

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

( ) Deriving the Lens Transmittance Function. Thin lens transmission is given by a phase with unit magnitude.

( ) Deriving the Lens Transmittance Function. Thin lens transmission is given by a phase with unit magnitude. Deriving the Lens Transmittance Function Thin lens transmission is given by a phase with unit magnitude. t(x, y) = exp[ jk o ]exp[ jk(n 1) (x, y) ] Find the thickness function for left half of the lens

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Lens Design I Seminar 5

Lens Design I Seminar 5 Y. Sekman, X. Lu, H. Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Lens Design I Seminar 5 Exercise 5-1: PSF scaling (Homework) To check the Airy

More information

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1 TSBB09 Image Sensors 2018-HT2 Image Formation Part 1 Basic physics Electromagnetic radiation consists of electromagnetic waves With energy That propagate through space The waves consist of transversal

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

TA/TI survey. Phy Phy

TA/TI survey.   Phy Phy TA/TI survey https://webapps.pas.rochester.edu/secure/phpq/ Phy121 7 60 73 81 Phy123 1 6 11 18 Chapter 35 Diffraction and Polarization Double- Slit Experiment destructive interference Two sources of light

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad.

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER III PAPER III OPTICS UNIT I: 1. MATRIX METHODS IN PARAXIAL OPTICS 2. ABERATIONS UNIT II

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

LOS 1 LASER OPTICS SET

LOS 1 LASER OPTICS SET LOS 1 LASER OPTICS SET Contents 1 Introduction 3 2 Light interference 5 2.1 Light interference on a thin glass plate 6 2.2 Michelson s interferometer 7 3 Light diffraction 13 3.1 Light diffraction on a

More information

Experiment 1: Fraunhofer Diffraction of Light by a Single Slit

Experiment 1: Fraunhofer Diffraction of Light by a Single Slit Experiment 1: Fraunhofer Diffraction of Light by a Single Slit Purpose 1. To understand the theory of Fraunhofer diffraction of light at a single slit and at a circular aperture; 2. To learn how to measure

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Diffraction of a Circular Aperture

Diffraction of a Circular Aperture DiffractionofaCircularAperture Diffraction can be understood by considering the wave nature of light. Huygen's principle, illustrated in the image below, states that each point on a propagating wavefront

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam Diffraction Interference with more than 2 beams 3, 4, 5 beams Large number of beams Diffraction gratings Equation Uses Diffraction by an aperture Huygen s principle again, Fresnel zones, Arago s spot Qualitative

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Single, Double And N-Slit Diffraction. B.Tech I

Single, Double And N-Slit Diffraction. B.Tech I Single, Double And N-Slit Diffraction B.Tech I Diffraction by a Single Slit or Disk If light is a wave, it will diffract around a single slit or obstacle. Diffraction by a Single Slit or Disk The resulting

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

Astronomical Observing Techniques Lecture 6: Op:cs

Astronomical Observing Techniques Lecture 6: Op:cs Astronomical Observing Techniques Lecture 6: Op:cs Christoph U. Keller keller@strw.leidenuniv.nl Outline 1. Geometrical Op

More information

Introduction to Electron Microscopy

Introduction to Electron Microscopy Introduction to Electron Microscopy Prof. David Muller, dm24@cornell.edu Rm 274 Clark Hall, 255-4065 Ernst Ruska and Max Knoll built the first electron microscope in 1931 (Nobel Prize to Ruska in 1986)

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION Revised November 15, 2017 INTRODUCTION The simplest and most commonly described examples of diffraction and interference from two-dimensional apertures

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Chapter 3 Op,cal Instrumenta,on

Chapter 3 Op,cal Instrumenta,on Imaging by an Op,cal System Change in curvature of wavefronts by a thin lens Chapter 3 Op,cal Instrumenta,on 3-1 Stops, Pupils, and Windows 3-4 The Camera 3-5 Simple Magnifiers and Eyepieces 1. Magnifiers

More information

Physics. Light Waves & Physical Optics

Physics. Light Waves & Physical Optics Physics Light Waves & Physical Optics Physical Optics Physical optics or wave optics, involves the effects of light waves that are not related to the geometric ray optics covered previously. We will use

More information

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type)

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type) Properties of optical instruments Visual optical systems part 2: focal visual instruments (microscope type) Examples of focal visual instruments magnifying glass Eyepieces Measuring microscopes from the

More information

Lecture 8. Lecture 8. r 1

Lecture 8. Lecture 8. r 1 Lecture 8 Achromat Design Design starts with desired Next choose your glass materials, i.e. Find P D P D, then get f D P D K K Choose radii (still some freedom left in choice of radii for minimization

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction. Phys 2435: Chap. 36, Pg 1

Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction. Phys 2435: Chap. 36, Pg 1 Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction Phys 2435: Chap. 36, Pg 1 Single Slit New Topic Phys 2435: Chap. 36, Pg 2 Diffraction: bending of light around

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy Fundamentals of optical microscopy A great online resource Molecular Expressions, a Microscope Primer http://micro.magnet.fsu.edu/primer/index.html Partha Roy 1 Why microscopy Topics Functions of a microscope

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Test procedures Page: 1 of 5

Test procedures Page: 1 of 5 Test procedures Page: 1 of 5 1 Scope This part of document establishes uniform requirements for measuring the numerical aperture of optical fibre, thereby assisting in the inspection of fibres and cables

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy Bi177 Lecture 5 Adding the Third Dimension Wide-field Imaging Point Spread Function Deconvolution Confocal Laser Scanning Microscopy Confocal Aperture Optical aberrations Alternative Scanning Microscopy

More information

Sensitive measurement of partial coherence using a pinhole array

Sensitive measurement of partial coherence using a pinhole array 1.3 Sensitive measurement of partial coherence using a pinhole array Paul Petruck 1, Rainer Riesenberg 1, Richard Kowarschik 2 1 Institute of Photonic Technology, Albert-Einstein-Strasse 9, 07747 Jena,

More information

ECEN 4606, UNDERGRADUATE OPTICS LAB

ECEN 4606, UNDERGRADUATE OPTICS LAB ECEN 4606, UNDERGRADUATE OPTICS LAB Lab 2: Imaging 1 the Telescope Original Version: Prof. McLeod SUMMARY: In this lab you will become familiar with the use of one or more lenses to create images of distant

More information

A Pin-Hole Projection System: Status

A Pin-Hole Projection System: Status Spot-o-Matic A Pin-Hole Projection System: Status Wolfgang Lorenzon Work performed by: Michael Borysow Nate Barron SNAP Detector Design We need to test: Intra-pixel response Lateral Charge Diffusion Must

More information

Optical System Design

Optical System Design Phys 531 Lecture 12 14 October 2004 Optical System Design Last time: Surveyed examples of optical systems Today, discuss system design Lens design = course of its own (not taught by me!) Try to give some

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Εισαγωγική στην Οπτική Απεικόνιση

Εισαγωγική στην Οπτική Απεικόνιση Εισαγωγική στην Οπτική Απεικόνιση Δημήτριος Τζεράνης, Ph.D. Εμβιομηχανική και Βιοϊατρική Τεχνολογία Τμήμα Μηχανολόγων Μηχανικών Ε.Μ.Π. Χειμερινό Εξάμηνο 2015 Light: A type of EM Radiation EM radiation:

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

ELECTRON MICROSCOPY. 14:10 17:00, Apr. 3, 2007 Department of Physics, National Taiwan University. Tung Hsu

ELECTRON MICROSCOPY. 14:10 17:00, Apr. 3, 2007 Department of Physics, National Taiwan University. Tung Hsu ELECTRON MICROSCOPY 14:10 17:00, Apr. 3, 2007 Department of Physics, National Taiwan University Tung Hsu Department of Materials Science and Engineering National Tsinghua University Hsinchu 300, TAIWAN

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Applied Optics. , Physics Department (Room #36-401) , ,

Applied Optics. , Physics Department (Room #36-401) , , Applied Optics Professor, Physics Department (Room #36-401) 2290-0923, 019-539-0923, shsong@hanyang.ac.kr Office Hours Mondays 15:00-16:30, Wednesdays 15:00-16:30 TA (Ph.D. student, Room #36-415) 2290-0921,

More information

Lecture 3: Geometrical Optics 1. Spherical Waves. From Waves to Rays. Lenses. Chromatic Aberrations. Mirrors. Outline

Lecture 3: Geometrical Optics 1. Spherical Waves. From Waves to Rays. Lenses. Chromatic Aberrations. Mirrors. Outline Lecture 3: Geometrical Optics 1 Outline 1 Spherical Waves 2 From Waves to Rays 3 Lenses 4 Chromatic Aberrations 5 Mirrors Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl Lecture 3: Geometrical

More information

NANO 703-Notes. Chapter 9-The Instrument

NANO 703-Notes. Chapter 9-The Instrument 1 Chapter 9-The Instrument Illumination (condenser) system Before (above) the sample, the purpose of electron lenses is to form the beam/probe that will illuminate the sample. Our electron source is macroscopic

More information

Big League Cryogenics and Vacuum The LHC at CERN

Big League Cryogenics and Vacuum The LHC at CERN Big League Cryogenics and Vacuum The LHC at CERN A typical astronomical instrument must maintain about one cubic meter at a pressure of

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 1. (Pedrotti 13-21) A glass plate is sprayed with uniform opaque particles. When a distant point

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information