Size: px
Start display at page:

Download ""

Transcription

1 1

2 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2

3 The main things you need to know #1 What does it take to reach diffraction-limited performance? Rayleigh criterion seeing Maréchal criterion Strehl λ/4 P-V 3.35 nm λ/14 RMS 0.96 nm Lithographic criterion CD variation λ/50 RMS 0.27 nm Bohr Radius 0.05 nm H 3

4 Numerous factors contribute to the EUV wavefront 4

5 The main things you need to know Properties that affect the wavefront Stability of the housing Alignment of the elements Figures of the surfaces Quality/Properties of the ML coatings #2 Alignment means rigid body motion In theory, each mirror has 6 degrees of freedom. So far, there are no adaptive optics in use for EUV. 5

6 The main things you need to know #3 The lens-maker s motto If you can measure it, you can make it. #3b The lens-maker s inside joke If you can t measure it, I d be happy to make it for you. 6

7 The main things you need to know #4 The accuracy of any test must be several times better than the target Precision is related to noise sources: Repeatability is easier to achieve Accuracy comes from systematic error calibration: Being Right is much harder! Systematic errors scale with NA 2, NA 3, NA 4,... measurement difficulty scales the same, or worse. Imagine trying to measure 0.05 nm after subtracting a 10 nm systematic term (and that s only 1 part in 200!) 7

8 The main things you need to know #5 In optical testing (interferometry) λ is the measuring stick λ EUV = 13.5 nm λ Visible = nm λ Visible / λ EUV = 39.5 testing... 1 nm = λ EUV / 13.5 routine λ Visible / difficult scale to 0.1 nm and you reach the state of the art 8

9 The main things you need to know #6 In interferometry, you count fringes. Interference fringes reveal the path length difference between two waves. Each fringe = 1 λ interferogram 9

10 The main things you need to know #7 EUV interferometry is only for assembled systems EUV Lenses are made with 2 8 aspherical mirrors. Measuring a single aspherical mirror is exceptionally difficult. A 10-µm aspheric departure = 19 λvis = 741 λeuv x2! sphere A complete optical imaging system produces a spherical wavefront. EUV can only be used on assembled optical systems with multilayer-coated elements. It can only be the final step in alignment. 10

11 The main things you need to know #8 You can test a lens from either side #9 You have to measure across the field lens lens lens Field dependence Distortion 11

12 Multilayer behavior depends on λ, d, θ R λ θ d/d 0 Φ R 3š/2 š š/ wavelength [nm] angle of incidence [deg] layer thickness Si: 4.18 nm Mo: 2.69 nm θ Mo/Si optimized for λ =13.4nmat -incidence Kenneth Goldberg, Center for X-Ray Optics, LBNL,

13 High sensitivity to multilayer properties 13

14 The main things you need to know #10 EUV and visible-light reflect differently from ML mirrors. There is a phase change on reflection that must be known and compensated. θ EUV visible path length difference Δs θ incident angle A systematic wavefront difference A B B 14

15 Φ(d) and R(d): Vis. and EUV vs. ML layer thickness #11 Only EUV can see the full wavefront phase of EUV optics.

16 The main things you need to know #12 Because of the short EUV coherence length, EUV interferometers must have a common-path design i.e. Test and reference beams must travel together 16

17 The main things you need to know #13 EUV interferometers are lensless collimated lens CCD re-imaging lens... But no re-imaging lenses are good enough for EUV optics! (True for EUV and visible-light interferometers) lens lensless CCD Mathematically propagate the field back to the exit pupil. 17

18 Interferometers for all occasions Kenneth Goldberg, Center for X-Ray Optics, LBNL,

19 EUV Interferometers used at LBNL Kenneth Goldberg, Center for X-Ray Optics, LBNL,

20 EUV Interferometers Everything starts with coherent, spherical-wave illumination The quality of the diffracted wave is very important Kenneth Goldberg, Center for X-Ray Optics, LBNL,

21 the illuminated MET pupil EUV Light transmitted light Kenneth Goldberg,, SPIE 2005,

22 EUV Interferometers Kenneth Goldberg, Center for X-Ray Optics, LBNL, Efficiency: HIGH Dynamic Range: Limited A great first test. Qualitative feedback Helps to identify the image plane. Self-calibrating.

23 EUV Light

24 EUV Interferometers Kenneth Goldberg, Center for X-Ray Optics, LBNL, Efficiency: HIGH Dynamic Range: Variable Very easy to align. Analysis is challenging Works up to ~0.3 NA Susceptible to higher-ordered aberrations

25 shearing interferogram EUV Light efficient measurement method Kenneth Goldberg,, SPIE 2005,

26 EUV Interferometers CGLSI cross-grating lateral shearing interferometer Efficiency: HIGH Dynamic Range: Variable Analysis is challenging Can go beyond ~0.3 NA Kenneth Goldberg, Center for X-Ray Optics, LBNL,

27 EUV Wavefront Sensor Efficiency: HIGH Dynamic Range: Variable high coherence Limited sensitivity not required Low-NA only Kenneth Goldberg, Center for X-Ray Optics, LBNL,

28 0.008-NA K-B system EUV Light

29 EUV Interferometers Efficiency: VERY LOW Dynamic Range: LOW Susceptible to defects/contamination Limited accuracy Kenneth Goldberg, Center for X-Ray Optics, LBNL,

30 Fresnel zoneplate

31 EUV Interferometers Efficiency: Medium Dynamic Range: LOW Ultra-high accuracy reference waves Requires < 30-nm pinholes for 0.3-NA Kenneth Goldberg, Center for X-Ray Optics, LBNL,

32 PS/PDI interferogram EUV Light ultra-high accuracy Kenneth Goldberg,, SPIE 2005,

33 EUV Wavefront Sensor TIS transmission image sensor Efficiency: LOW Dynamic Range: LOW Uses mask illumination. Feedback for low-frequency aberrations Kenneth Goldberg, Center for X-Ray Optics, LBNL,

34 A long track record of EUV Interferometry, alignment optimization and imaging at LBNL (since 93) Berkeley 10x 10xI 10xA 10xB 10xA 10xB2 10xB2 F2X ETS Set-1 ETS Set-2 MET 2-mirror, 10x Schwarzschild objectives NA 0.08 ƒ/ 6.3 higher resolution 4-mirror, 4x ETS projection optics NA = 0.1 ƒ/ mirror, 5x MET optic NA = 0.3 ƒ/ 1.67 time higher quality 34

35 ETS Projection Optic: off-axis, large field Work sponsored by the EUV LLC ~1.1-m mask-to-wafer M2 M4 M3 M1 35

36 36

37 37

38

39 MET at-wavelength interferometry and alignment MET Micro-Exposure Tool Wavefront measurement during alignment central field point astig coma sph ab trifoil h-o s nm 0.06 nm 0.04 nm 0.14 nm 0.37 nm RMS 0.55 nm λ/24.5 EUV interferometry & alignment sets astigmatism, coma, spherical aberration arbitrarily small. 39

40 What is the key to achieving high accuracy? Consulting the Oracle at Delphi

41 #14 γνῶθι σεαυτόν = Know Thyself Modern Translation Characterize the heck out of your interferometer x Pinhole-diffracted beams x Lensless Geometry x Cross-calibration Apollo

42 Developing state-of-the art pinholes for spherical reference-wave accuracy object pinholes image pinholes TEMPEST-3D Modeling vector E-M field simulations 100-nm Ni 150-nm Ni Nanofabrication (Nanowriter) object pinhole TEM SEM 100 nm image pinhole 25 nm Intensity Intensity Pinhole-array diffraction diffraction angle diffraction angle 42

43 The lensless geometry creates measurable systematic errors that are removed through calibration

44 grating null-test interferogram system calibration for high accuracy Kenneth Goldberg,, SPIE 2005,

45 two-pinhole null-test interferogram system calibration for high accuracy Kenneth Goldberg,, SPIE 2005,

46 What is the accuracy limit? Consulting the Oracle at Delphi

47 Sorry, The Oracle only allows one question per customer. Next! Apollo

48 48

49 Directly compare EUV and visible-light measurements... 49

50 Cross-calibrate the different techniques, wavelengths visible-light EUV PS/PDI EUV shearing Excellent work in this area by the EUVA team members! Murakami, Sugisaki, Ouchi, Otaki, Liu, Zhu, Hasegawa, Kato, Ishii, Saito, Niibe, Takeda, and others. EUVA, Univ. Hyogo, (Canon, Nikon) 50

51 Intercomparison Results, and a ray of hope #15 How good are the inter-comparisons? We always find: nm RMS disagreement Astigmatism is the main difference. Astigmatism Most sensitive to system misalignment. Hardest aberration to measure interferometrically * Easiest aberration to detect in printing. 51

52 But wait... #16 What about distortion? MISALIGNMENT SPACE Distortion Aberrations M 1 M 2 M 3 M 4... alignment 52

53 Inaccurate interferometry cost NASA $Billions Before After Hubble Space Telescope Kenneth Goldberg,, SPIE 2005, Hubble repair, 1993 COSTAR optic installed 53

54 Will we learn from history and invest in interferometry? Without EUVI? With EUVI? EUV Stepper Kenneth Goldberg, Performing EUV Interferometry? 54

55 #17 You better align it right, because at 10 7 Torr No one can hear you scream Kenneth Goldberg, 55

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING 14 USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING Katherine Creath College of Optical Sciences University of Arizona Tucson, Arizona Optineering Tucson, Arizona James C. Wyant College of Optical

More information

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Preparations for EUV Interferometry of the 0.3 NA MET Optic Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Rekawa, Keith H. Jackson, J. Alexander Liddle, Bruce Harteneck, Eric Gullikson,

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor

VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor S. C. West, D. Fisher Multiple Mirror Telescope Observatory M. Nelson Vatican Advanced Technology Telescope

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

OPTINO. SpotOptics VERSATILE WAVEFRONT SENSOR O P T I N O

OPTINO. SpotOptics VERSATILE WAVEFRONT SENSOR O P T I N O Spotptics he software people for optics VERSALE WAVEFR SESR Accurate metrology in single and double pass Lenses, mirrors and laser beams Any focal length and diameter Large dynamic range Adaptable for

More information

Use of Computer Generated Holograms for Testing Aspheric Optics

Use of Computer Generated Holograms for Testing Aspheric Optics Use of Computer Generated Holograms for Testing Aspheric Optics James H. Burge and James C. Wyant Optical Sciences Center, University of Arizona, Tucson, AZ 85721 http://www.optics.arizona.edu/jcwyant,

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Collimation Tester Instructions

Collimation Tester Instructions Description Use shear-plate collimation testers to examine and adjust the collimation of laser light, or to measure the wavefront curvature and divergence/convergence magnitude of large-radius optical

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

OPAL. SpotOptics. AUTOMATED WAVEFRONT SENSOR Single and double pass O P A L

OPAL. SpotOptics. AUTOMATED WAVEFRONT SENSOR Single and double pass O P A L Spotptics The software people for optics UTMTED WVEFRNT SENSR Single and double pass ccurate metrology of standard and aspherical lenses ccurate metrology of spherical and flat mirrors =0.3 to =60 mm F/1

More information

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic P6-4 At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul E. Denham 1, Keith H. Jackson 1,

More information

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes H. M. Martin, R. G. Allen, J. H. Burge, L. R. Dettmann, D. A. Ketelsen, W. C. Kittrell, S. M. Miller and S. C. West Steward Observatory,

More information

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces James T. McCann OFC - Diamond Turning Division 69T Island Street, Keene New Hampshire

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b

X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b a College of Optical Sciences, the University of Arizona, Tucson, AZ 85721, U.S.A. b Brookhaven

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Testing an off-axis parabola with a CGH and a spherical mirror as null lens

Testing an off-axis parabola with a CGH and a spherical mirror as null lens Testing an off-axis parabola with a CGH and a spherical mirror as null lens Chunyu Zhao a, Rene Zehnder a, James H. Burge a, Hubert M. Martin a,b a College of Optical Sciences, University of Arizona 1630

More information

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation

J. C. Wyant Fall, 2012 Optics Optical Testing and Testing Instrumentation J. C. Wyant Fall, 2012 Optics 513 - Optical Testing and Testing Instrumentation Introduction 1. Measurement of Paraxial Properties of Optical Systems 1.1 Thin Lenses 1.1.1 Measurements Based on Image Equation

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

SpotOptics. The software people for optics OPAL O P A L

SpotOptics. The software people for optics OPAL O P A L Spotptics The software people for optics UTMTED WVEFRNT SENSR ccurate metrology of standard and aspherical lenses (single pass) ccurate metrology of spherical and flat mirrors (double pass) =0.3 to =50

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam Diffraction Interference with more than 2 beams 3, 4, 5 beams Large number of beams Diffraction gratings Equation Uses Diffraction by an aperture Huygen s principle again, Fresnel zones, Arago s spot Qualitative

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Testing Aspherics Using Two-Wavelength Holography

Testing Aspherics Using Two-Wavelength Holography Reprinted from APPLIED OPTICS. Vol. 10, page 2113, September 1971 Copyright 1971 by the Optical Society of America and reprinted by permission of the copyright owner Testing Aspherics Using Two-Wavelength

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Basics of INTERFEROMETRY

Basics of INTERFEROMETRY Basics of INTERFEROMETRY P Hariharan CSIRO Division of Applied Sydney, Australia Physics ACADEMIC PRESS, INC. Harcourt Brace Jovanovich, Publishers Boston San Diego New York London Sydney Tokyo Toronto

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Applied Optics. , Physics Department (Room #36-401) , ,

Applied Optics. , Physics Department (Room #36-401) , , Applied Optics Professor, Physics Department (Room #36-401) 2290-0923, 019-539-0923, shsong@hanyang.ac.kr Office Hours Mondays 15:00-16:30, Wednesdays 15:00-16:30 TA (Ph.D. student, Room #36-415) 2290-0921,

More information

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Chang Chang, Patrick Naulleau, Erik Anderson, Kristine Rosfjord,

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Design of a digital holographic interferometer for the. ZaP Flow Z-Pinch

Design of a digital holographic interferometer for the. ZaP Flow Z-Pinch Design of a digital holographic interferometer for the M. P. Ross, U. Shumlak, R. P. Golingo, B. A. Nelson, S. D. Knecht, M. C. Hughes, R. J. Oberto University of Washington, Seattle, USA Abstract The

More information

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry OPTICA ACTA, 1985, VOL. 32, NO. 12, 1455-1464 Contouring aspheric surfaces using two-wavelength phase-shifting interferometry KATHERINE CREATH, YEOU-YEN CHENG and JAMES C. WYANT University of Arizona,

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad.

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER III PAPER III OPTICS UNIT I: 1. MATRIX METHODS IN PARAXIAL OPTICS 2. ABERATIONS UNIT II

More information

Astronomy 80 B: Light. Lecture 9: curved mirrors, lenses, aberrations 29 April 2003 Jerry Nelson

Astronomy 80 B: Light. Lecture 9: curved mirrors, lenses, aberrations 29 April 2003 Jerry Nelson Astronomy 80 B: Light Lecture 9: curved mirrors, lenses, aberrations 29 April 2003 Jerry Nelson Sensitive Countries LLNL field trip 2003 April 29 80B-Light 2 Topics for Today Optical illusion Reflections

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

SpotOptics. The software people for optics L E N T I N O LENTINO

SpotOptics. The software people for optics L E N T I N O LENTINO Spotptics he software people for optics AUMAD WAVFR SSR Accurate Metrology of standard and aspherical lenses =0.3 to =20 mm F/1 to F/15 Accurate motor for z-movement Accurate XY and tilt stages for easy

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

Practical Flatness Tech Note

Practical Flatness Tech Note Practical Flatness Tech Note Understanding Laser Dichroic Performance BrightLine laser dichroic beamsplitters set a new standard for super-resolution microscopy with λ/10 flatness per inch, P-V. We ll

More information

Testing aspheric lenses: some new approaches with increased flexibility

Testing aspheric lenses: some new approaches with increased flexibility Testing aspheric lenses: some new approaches with increased flexibility Wolfgang Osten, Eugenio Garbusi, Christoph Pruss, Lars Seifert Universität Stuttgart, Institut für Technische Optik ITO, Pfaffenwaldring

More information

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors Aidan Brooks, Peter Veitch, Jesper Munch Department of Physics, University of Adelaide Outline of Talk Discuss

More information

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester WaveMaster IOL Fast and Accurate Intraocular Lens Tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is an instrument providing real time analysis of

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 205-04-8 Herbert Gross Summer term 206 www.iap.uni-jena.de 2 Preliminary Schedule 04.04. Basics 2.04. Properties of optical systrems I 3 8.04.

More information

"SIMPLE MEASUREMENT, ADVANCED RESULTS"

SIMPLE MEASUREMENT, ADVANCED RESULTS "SIMPLE MEASUREMENT, ADVANCED RESULTS" 1 Phasics offers the most innovative solutions for lens and objectives quality control in R&D and production. Relying on a unique wavefront technology, the quadriwave

More information

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable. 1 1.1 Singlet Optimize a single lens with the data λ = 546.07 nm, object in the distance 100 mm from the lens on axis only, focal length f = 45 mm and numerical aperture NA = 0.07 in the object space.

More information

WaveMaster IOL. Fast and accurate intraocular lens tester

WaveMaster IOL. Fast and accurate intraocular lens tester WaveMaster IOL Fast and accurate intraocular lens tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is a new instrument providing real time analysis

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses.

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses. Mirrors and Lenses Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses. Notation for Mirrors and Lenses The object distance is the distance from the object

More information

Basics of INTERFEROMETRY

Basics of INTERFEROMETRY Basics of INTERFEROMETRY Second Edition P. HARIHARAN School ofphysics, Sydney, Australia University of Sydney CPi AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Dynamic beam shaping with programmable diffractive optics

Dynamic beam shaping with programmable diffractive optics Dynamic beam shaping with programmable diffractive optics Bosanta R. Boruah Dept. of Physics, GU Page 1 Outline of the talk Introduction Holography Programmable diffractive optics Laser scanning confocal

More information

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36 Light from distant things Chapter 36 We learn about a distant thing from the light it generates or redirects. The lenses in our eyes create images of objects our brains can process. This chapter concerns

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Using Stock Optics. ECE 5616 Curtis

Using Stock Optics. ECE 5616 Curtis Using Stock Optics What shape to use X & Y parameters Please use achromatics Please use camera lens Please use 4F imaging systems Others things Data link Stock Optics Some comments Advantages Time and

More information

12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes

12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes 330 Chapter 12 12.4 Alignment and Manufacturing Tolerances for Segmented Telescopes Similar to the JWST, the next-generation large-aperture space telescope for optical and UV astronomy has a segmented

More information

Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres

Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres M. B. Dubin, P. Su and J. H. Burge College of Optical Sciences, The University of Arizona 1630 E. University

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term

Lens Design I. Lecture 3: Properties of optical systems II Herbert Gross. Summer term Lens Design I Lecture 3: Properties of optical systems II 207-04-20 Herbert Gross Summer term 207 www.iap.uni-jena.de 2 Preliminary Schedule - Lens Design I 207 06.04. Basics 2 3.04. Properties of optical

More information

Geometric optics & aberrations

Geometric optics & aberrations Geometric optics & aberrations Department of Astrophysical Sciences University AST 542 http://www.northerneye.co.uk/ Outline Introduction: Optics in astronomy Basics of geometric optics Paraxial approximation

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine:

The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine: The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine: Sterne und Weltraum 1973/6, p.177-180. The publication of this translation

More information

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment CHARA Telescope Alignment By Laszlo Sturmann Mersenne (Cassegrain type) Telescope M2 140 mm R= 625 mm k = -1 M1/M2 provides an afocal optical system 1 m input beam and 0.125 m collimated output beam Aplanatic

More information

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich LECTURE 13 DIFFRACTION Instructor: Kazumi Tolich Lecture 13 2 Reading chapter 33-4 & 33-6 to 33-7 Single slit diffraction Two slit interference-diffraction Fraunhofer and Fresnel diffraction Diffraction

More information

Wavefront Sensing In Other Disciplines. 15 February 2003 Jerry Nelson, UCSC Wavefront Congress

Wavefront Sensing In Other Disciplines. 15 February 2003 Jerry Nelson, UCSC Wavefront Congress Wavefront Sensing In Other Disciplines 15 February 2003 Jerry Nelson, UCSC Wavefront Congress QuickTime and a Photo - JPEG decompressor are needed to see this picture. 15feb03 Nelson wavefront sensing

More information

Lens Design I Seminar 5

Lens Design I Seminar 5 Y. Sekman, X. Lu, H. Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Lens Design I Seminar 5 Exercise 5-1: PSF scaling (Homework) To check the Airy

More information

Transferring wavefront measurements to ablation profiles. Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich

Transferring wavefront measurements to ablation profiles. Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich Transferring wavefront measurements to ablation profiles Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich corneal ablation Calculation laser spot positions Centration Calculation

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

Chapter 3. Introduction to Zemax. 3.1 Introduction. 3.2 Zemax

Chapter 3. Introduction to Zemax. 3.1 Introduction. 3.2 Zemax Chapter 3 Introduction to Zemax 3.1 Introduction Ray tracing is practical only for paraxial analysis. Computing aberrations and diffraction effects are time consuming. Optical Designers need some popular

More information

Displacement sensor by a common-path interferometer

Displacement sensor by a common-path interferometer Displacement sensor by a common-path interferometer Kazuhide KAMIYA *a, Takashi NOMURA *a, Shinta HIDAKA *a, Hatsuzo TASHIRO **b, Masayuki MINO +c, Seiichi OKUDA ++d a Facility of Engineering, Toyama Prefectural

More information

Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source

Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source Shlomi Epshtein, 1 Alon Harris, 2 Igor Yaacobovitz, 1 Garrett Locketz, 3 Yitzhak Yitzhaky, 4 Yoel Arieli, 5* 1AdOM

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Coherent Laser Measurement and Control Beam Diagnostics

Coherent Laser Measurement and Control Beam Diagnostics Coherent Laser Measurement and Control M 2 Propagation Analyzer Measurement and display of CW laser divergence, M 2 (or k) and astigmatism sizes 0.2 mm to 25 mm Wavelengths from 220 nm to 15 µm Determination

More information

SPIE Volume 472 PRECISION OPTICAL GLASSWORKING. A manual for the manufacture, W. Zschommler. Glasbearbeitung (Werkkiinde fur den Feinoptiker)

SPIE Volume 472 PRECISION OPTICAL GLASSWORKING. A manual for the manufacture, W. Zschommler. Glasbearbeitung (Werkkiinde fur den Feinoptiker) SPIE Volume 472 PRECISION OPTICAL GLASSWORKING A manual for the manufacture, testing and design of precision optical components and the training of optical craftsmen W. Zschommler English translation by

More information