Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Size: px
Start display at page:

Download "Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL"

Transcription

1 Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo

2 Outline 1) EUV actinic mask inspection using EUV Microscope 2) EUV Microscope using a highmagnification objective with three multilayer mirrors

3 EUV actinic mask inspection using EUV Microscope Takeo Watanabe, Tetsuo Harada, Hiroo Kinoshita, Center for EUVL, LASTI, University of Hyogo Tsuyoshi Amano, Osamu Suga, Selete* * Present affiliation: EIDEC

4 Outline 1) EUV microscope 2) Phase defect observation 3) Defect repairing using FIB and its observation 4) Conclusions

5 Background Total 11 sets of the commercial steppers for EUV Lithography will be delivered in 2013 ~ Mask pattern defect Electric circuit error Device error EUV mask repair and the mask inspection for the repaired mask is required 5

6 Mask inspection method Inspection by exposure wavelength EUV Mask Defect EUV mask defect inspection tool ALS Zone Plate 型 Amplitude defect Phase defect hp100 nm Glass Affected with Intensity profile Glass Affected with the phase profile (pit defect) Pit and bump defects of 1 nm depth are printable. New SUBARU SR BeamLine 3 Schwarzschild 光学系 (NA0.3 倍率 30X) ロードロック サンプル 除振台 EUV 顕微鏡 X 線ズーミング管 (10~200X) CCD NewSUBARU Schwarzschild 型 hp150 nm Difficult to inspect using DUV and SEM.

7 Specification of EUV Microscope New SUBARU SR BeamLine 3 Schwarzschild optics (Multilayer mirror, NA 0.3, 30X) front-end turning mirror (Multilayer mirror) back-end turning mirror (Multilayer mirror) (c) CCD camera Load-lock chamber Schwarzschild optics Magnification : 30X Numerical aperture: 0.3 Vibration isolator X-Y-Z sample stage X-ray zooming tube (10~200X) Light source Bending magnet Total magnification 300~6000x Resolution 10 nm Method Bright field Defect observation Amplitude and phase defects

8 Mask defect inspection by EUVM 600X 500nm 3um Absorber 150nm L/S Contamination 1um Dot Dark area Mo/Si ML ULE Substrate Amplitude Phase Finished mask Blanks

9 Resolution of mask defect by EUVM X Intensity (arb. units) nm Isolated line of 300 nm width pixels Pattern edge profile of light intenisty By 25% and 75% of maximum light intensity light for the absorber edge pattern, the resolution of 50nm is obtained.

10 Printability of Mask Defect Printability of phase defect under the absorber pattern 400 nm L/S,programmed defect height of 12 nm Hp 400 nm The printability depends on the position of the programmed defect. Depth (nm) Printability Non-printable area Printable area Width (nm) Line-pit defects Resolved Not resolved Hole-pit defects Resolved Not resolved Printability of point and line programmed defects Controlled the width and height of the programmed defect. Depth: 1~4 nm Width: 20~140 nm The printability criteria was clarified using EUVM.

11 Repair of clear defect Clear defect (Reflected region) SEM image Absorber ML Lack of absorber Resist image EUVM image Lack of circuit pattern 11 Clear defect

12 Conventional repairing method of clear defect by CVD Demerrit: CVD layer contains carbon. Thickness loss by cleaning. CVD layer has to be large height. Affected with shadowing effect

13 Mask defect repair using FIB Abs. ML By removing of the ML under the Clear defect by the Ga ion beam of FIB, the EUV light will not be reflected.

14 Pattern inspection by EUVM for the repaired clear defect by FIB Narrow width SEM image 225 nm L/S FIB irradiation area Wide width 500nm ML removal area EUVM 像 Clear defect Not completely removed Narrow width for repairing Completely removed 14

15 Pattern inspection by EUVM for the repaired clear defect by FIB Narrow width EUVM image 128 nm L/S FIB irradiation area Wide width 500 nm The clear defect which was repaired by FIB was observed using EUVM.

16 Conclusions 1) Printability criteria of the programmed defect width and height was obtained using EUVM mask defect inspection. 2) It is confirmed that the clear defect repairing by FIB is found to be usable using the EUVM observation.

17 Acknowledgement This research was supported by NEDO. And the mask sample was prepared by Selete.

18 EUV Microscope using a high magnification objective with three multilayer mirrors M. Toyoda, K. Yamasoe, T. Hatano, M. Yanagihara Lab. of Soft X-ray microscopy, IMRAM, Tohoku University A. Tokimasa, T. Harada, T. Watanabe, H. Kinoshita LASTI, University of Hyogo

19 Outline 1. Motivation Early studies and technical issues 2. Experimental Innovative optics utilizing high magnification 3. Highlight data EUVL mask images with improved resolution 4. Summary and future plans

20 1. Motivation

21 Benefit of using high-magnification mirror as an objective In the x-ray zooming tube, CsI thin film was utilized as the photoelectric conversion element. However, the grain size of the CsI affected with the resolution of the EUVM imaging. Thus the high-magnification-mirror objective is selected for the EUVM imaging.

22 At wavelength inspection of EUVL mask absorber <100nm defects Mo/Si substrate defects Requirements for an inspection tool At wavelength observation (λ=13.5nm) High spatial resolution (δ<40nm) Wide field of view for a rapid whole mask inspection

23 Early study: EUV Microscope on NewSUBARU SR EUV light Schwarzschild objective(30x) NA=0.3 EUV zooming tube (200x) photo cathode Line width 480nm (120nm on wafer) mask sample electron lens H. Kinoshita Jpn. J. Appl. Phys. 49(2010)06GD07. EUV electron Bright field image at λ=13.5 nm Rayleigh s limit δ=30 nm Field of view Φ=20μm Actual resolution δ>100 nm

24 Technical issues of the EUV Microscope Degraded resolution resulting from aberrations of Schwarzschild objective. Small field of view limited by an electron lens of the zooming tube. Aim of this work Innovative EUV imaging facility realizing both high spatial resolution for 22-nm node mask, wider field of view for practical inspection time.

25 2. Experimental

26 Two stage imaging system for high magnification sample 1st stage CCD camera δ=40μm 2nd stage Rayleigh s limit δ=33nm NA=0.25 m=30 intermediate image δ=1μm NA=0.01 m=40 M.Toyoda, AIP Conf. Proc. 1365, 176(2011) Higher magnification (m>1200) 30nm resolution with EUV-CCD camera Good correction of off-axis aberrations Large field of view over Φ>160μm

27 Experimental setup of the novel facility illumination optics SR EUV 2nd stage mirror intermediate image NewSUBARU BL3 2nd stage mirror CCD camera turning mirror 600 mm Schwarzschild objective EUVL mask Schwarzschild objective load lock system

28 Instrumentation of the imaging optics Concave mirror 50mm Convex mirror 5.5nm 9.3nm Substrates (5 sets) were polished in IMRAM. Mo/Si multilayer was coated with IBS. Mirrors were aligned using Zygo interferometer. Wavefront error W=2.2 nm rms. (on-axis)

29 3. Highlight data

30 Confirmation of the magnification enhancement 50μm 5μm Intermediate image (m=30) Exp. time: 0.25 sec. Final image (m=1460) Line width: 240nm (60nm) Exp. time: 36 sec. EUV CCD camera: pixel size 13.5μm, pixels

31 Resolution measurement with L/S patterns High magnification images (m=1460) 5μm Line width: 225nm (56nm) Exp. time: 10s Line width: 88nm (22nm) Exp. time:100s

32 Resolution measurement with L/S patterns 5μm contrast: 0.54 Intensity 1μm Position (μm) 88nm width L/S pattern was clearly observed. The capability of inspecting 22nm node masks.

33 Conclusions 1) High magnification of 1460x was achieved using three mirror objective. 2) High magnification enhancement was confirmed by the observation of the actinic EUV mask. 3) 88 nm mask pattern was observed using high magnification objective in EUVM.

34 Summaries 1) Printability criteria of the programmed defect was confirmed by EUVM. 2) The benefit of the clear defect repairing method using FIB was confirmed by EUVM. 3) 88 nm mask pattern was observed using high magnification objective in EUVM.

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Imaging in the EUV region. Eberhard Spiller

Imaging in the EUV region. Eberhard Spiller Imaging in the EUV region Eberhard Spiller Introduction to Imaging Applications Astronomy Microscopy EUV Lithography Direct Reconstruction E. Spiller, June 11, 2008 2 Imaging with light Waves move by λ

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Figure 1. The Zeta-20 uses the Grasshopper3 and produces true color 3D optical images with multi mode optics technology 3D optical profiling

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Lecture 20: Optical Tools for MEMS Imaging

Lecture 20: Optical Tools for MEMS Imaging MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 20: Optical Tools for MEMS Imaging 1 Overview Optical Microscopes Video Microscopes Scanning Electron

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Practical Flatness Tech Note

Practical Flatness Tech Note Practical Flatness Tech Note Understanding Laser Dichroic Performance BrightLine laser dichroic beamsplitters set a new standard for super-resolution microscopy with λ/10 flatness per inch, P-V. We ll

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision

Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision Hitachi Review Vol. 65 (2016), No. 7 243 Featured Articles Measurement of Surface Profile and Layer Cross-section with Wide Field of View and High Precision VS1000 Series Coherence Scanning Interferometer

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

Bringing Answers to the Surface

Bringing Answers to the Surface 3D Bringing Answers to the Surface 1 Expanding the Boundaries of Laser Microscopy Measurements and images you can count on. Every time. LEXT OLS4100 Widely used in quality control, research, and development

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Current Status of NewSUBARU

Current Status of NewSUBARU Current Status of NewSUBARU NewSUBARU Storage Ring Storage Ring Parameters The machine parameters of the 1.5 GeV storage ring are listed in Table I. Although the machine condition remains the same, some

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry OPTICA ACTA, 1985, VOL. 32, NO. 12, 1455-1464 Contouring aspheric surfaces using two-wavelength phase-shifting interferometry KATHERINE CREATH, YEOU-YEN CHENG and JAMES C. WYANT University of Arizona,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Transmission electron Microscopy

Transmission electron Microscopy Transmission electron Microscopy Image formation of a concave lens in geometrical optics Some basic features of the transmission electron microscope (TEM) can be understood from by analogy with the operation

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

High Resolution Microlithography Applications of Deep-UV Excimer Lasers Invited Paper High Resolution Microlithography Applications of Deep-UV Excimer Lasers F.K. Tittel1, M. Erdélyi2, G. Szabó2, Zs. Bor2, J. Cavallaro1, and M.C. Smayling3 1Department of Electrical and Computer

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36 Light from distant things Chapter 36 We learn about a distant thing from the light it generates or redirects. The lenses in our eyes create images of objects our brains can process. This chapter concerns

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Optically reconfigurable metasurfaces and photonic devices based on phase change materials S1: Schematic diagram of the experimental setup. A Ti-Sapphire femtosecond laser (Coherent Chameleon Vision S)

More information

VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES

VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES Shortly after the experimental confirmation of the wave properties of the electron, it was suggested that the electron could be used to examine objects

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Flatness of Dichroic Beamsplitters Affects Focus and Image Quality

Flatness of Dichroic Beamsplitters Affects Focus and Image Quality Flatness of Dichroic Beamsplitters Affects Focus and Image Quality Flatness of Dichroic Beamsplitters Affects Focus and Image Quality 1. Introduction Even though fluorescence microscopy has become a routine

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G APPLICATION NOTE M01 attocfm I for Surface Quality Inspection Confocal microscopes work by scanning a tiny light spot on a sample and by measuring the scattered light in the illuminated volume. First,

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL FERMILAB-CONF-16-641-AD-E ACCEPTED FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL A.H. Lumpkin 1 and A.T. Macrander 2 1 Fermi National Accelerator Laboratory, Batavia, IL 60510

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT

Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT Takeshi Fujiwara 1, Yuki Mitsuya 2, Hiroyuki Takahashi 2, and Hiroyuki Toyokawa 2 1 National Institute of Advanced Industrial

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS Diamond X-ray Rocking Curve and Topograph Measurements at CHESS G. Yang 1, R.T. Jones 2, F. Klein 3 1 Department of Physics and Astronomy, University of Glasgow, Glasgow, UK G12 8QQ. 2 University of Connecticut

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information