Diffractive optical elements and their potential role in high efficiency illuminators

Size: px
Start display at page:

Download "Diffractive optical elements and their potential role in high efficiency illuminators"

Transcription

1 Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau 2008 EUV Workshop 6/12/2008 1

2 Outline Motivation Theoretical efficiencies Fabrication and characterization of a relief HOE Fabrication and characterization of an etched multilayer null HOE Summary Patrick Naulleau 2008 EUV Workshop 6/12/2008 2

3 Motivation: modified illumination key element in lithographer s toolbox NA = 0.32 Modified illumination in lithography first proposed by: K. Kamon, et. al, Photolithography system using annular illumination, Japanese Journal of Applied Physics 30, (1991). Patrick Naulleau 2008 EUV Workshop 6/12/2008 3

4 Motivation 2 ASML roadmap shows off-axis illumination for 16-nm HP node (SPIE 2008) No details given on what off axis illumination and how it might be achieved Let s assumed condenser pupil plane aperture is used: Annular = 5x throughput loss Quadrupole = 4x throughput loss Dipole = 8x throughput loss Patrick Naulleau 2008 EUV Workshop 6/12/2008 4

5 Potential architectures for high-efficiency EUV diffractive/holographic elements Efficiency crucial for EUV applications, so we consider only phase devices Mo on Si Membrane Etched Mo/Si multilayer Mo/Si multilayer on relief substrate Mo on Mo/Si Multilayer Patrick Naulleau 2008 EUV Workshop 6/12/2008 5

6 Theoretical efficiencies Mo on Membrane π-phase-shift thickness = 86 nm (60% transmission) Assume 180-nm Si membrane (74% transmission) 1 st order efficiency = 24% Mo/Si on relief substrate and etched Mo/Si Assume 67% Mo/Si reflectivity in all regions 1 st order efficiency = 27% Mo on Mo/Si Round-trip thickness = 86 nm Assume 67% Mo/Si reflectivity 1 st order efficiency = 22% Patrick Naulleau 2008 EUV Workshop 6/12/2008 6

7 Symmetric pupil fills have potential for 2x efficiency Binary carrier holographic devices will produce symmetric diffraction orders When axially symmetric illumination patterns are desired, the total efficiency of the device can be double Near 50% or higher efficiency EUV! Mo on Membrane transmission example 24% 24% Total efficiency = 48% Patrick Naulleau 2008 EUV Workshop 6/12/2008 7

8 Higher efficiency through system design Previously stated efficiencies assume addition of discrete optical component to system Substrate losses can be avoided by integrating holographic element into existing component Transmission type could be integrated onto vacuum or spectral filtering membrane Reflection type could be integrated onto illuminator mirror Resulting efficiencies Pure Mo: 64% in +/-1 orders Mo/Si: 80% in +/-1 orders Patrick Naulleau 2008 EUV Workshop 6/12/2008 8

9 Is this just a pipe dream: is source etendue too large? 0.32-NA 4X optic, 6-mm slit height LPP: source size = 100 μm, collection half angle = 70 Minimum σ = 0.25 DPP: source size = 1 mm, collection half angle = 30 Minimum σ = 1 (NOT FEASIBLE) Patrick Naulleau 2008 EUV Workshop 6/12/2008 9

10 Design of example hologram 200 μm White = π phase shift Black = 0 phase shift 200 μm 20 μm 20 μm 1 mm carrier period = 392 nm Pattern NA = Pixel size = 98 nm Full hologram, 5x5 grid of 200x200μm subholgrams 1 mm Patrick Naulleau 2008 EUV Workshop 6/12/

11 Fabrication of a relief hologram AFM images of 5-μm patches of the hologram Before Multilayer Coating Coated hologram 5 μm 5 μm Multilayer smoothing no problem for the 392-nm period carrier and 98-nm pixel size chosen here Spectral analysis actually shows a benefit of the smoothing due to reduction in higher-order diffraction terms Patrick Naulleau 2008 EUV Workshop 6/12/

12 Characterization of relief hologram No zero order due to phaseonly architecture Predicted Measured +1 order only Image is stitched because CCD was too small to capture Patrick Naulleau 2008 EUV Workshop 6/12/

13 Relief hologram efficiency Null hologram used to determine efficiency because hologram NA too large for our reflectometer 0.25 Absolute efficiency nd 1 st 0 th -1 st -2 nd Near ideal efficiency obtained (23%) Angle [deg] Patrick Naulleau 2008 EUV Workshop 6/12/

14 Etched multilayer implementation Multilayer smoothing will ultimately limit the resolution of relief implementation Etched methods avoid this problem Of etched methods, multilayer has higher potential efficiency Embedded chrome etch stop Photoresist After develop, etch, strip Patrick Naulleau 2008 EUV Workshop 6/12/

15 High reflectivity obtained from base multilayer even with embedded chrome G mul % 0.5 Reflectance Wavelength (nm) Patrick Naulleau 2008 EUV Workshop 6/12/

16 Etched multilayer implementation shows excellent potential for high resolution Etch depth = 115 nm (16 biliayers) π phase shift 500-nm period Chrome remaining in etched regions Patrick Naulleau 2008 EUV Workshop 6/12/

17 19% absolute efficiency obtained from null hologram Efficiency nm period Angle (deg) 19% By removing chrome etch stop, efficiency should increase to 23% Further improving base reflectivity, efficiencies > 25% can be expected Reflectance Patterning process caused 8% drop in base multilayer reflectivity, probably due to residual hardmask 55% Wavelength (nm) Multilayer Patrick Naulleau 2008 EUV Workshop 6/12/

18 Summary HOEs provide potential path to efficient EUV pupil-fill control Efficiency crucial in EUV regime (pure phase devices required) Ideal HOE architecture (transmission or reflection) depends on system design High-efficiency EUV HOE fabricated using relief substrate method 23% in +1 order (43% +/-1 orders) Etched ML or Mo methods enable higher resolution 19% single order efficiency etched ML null HOE fabricated 38% +/-1 orders Clear path to efficiencies as high as 25% (50% +/-1 orders) Patrick Naulleau 2008 EUV Workshop 6/12/

19 Future work Extension of HOE work Develop chrome etch stop strip process for ML Improve ML pattern/etch process Transfer high-resolution ML etch learning to pure Mo etch Implement full hologram devices with reflective etched ML and transmission Mo methods New directions Nanosmoothing techniques allowing low-cost diamond-turned optics to be used in the EUV Patrick Naulleau 2008 EUV Workshop 6/12/

20 Base multilayer closely matches expected performance 0.80 Ideal Interface roughness Interface roughness and diffusion 0.70 Reflectivity % 66% 63% Modeling data provided by Andy Aquila, LBNL, UC Berkeley Wavelength (nm) Patrick Naulleau 2008 EUV Workshop 6/12/

21 Iterative computation method used to generate phase-only hologram High efficiency requires both a phase only carrier and a phase-only modulating signal Phase-only modulating function for a F.T. hologram can be computed using iterative Gerchberg-Saxon method Initial guess FFT Discard phase Discard amplitude Multiply by target farfield image amplitude IFFT Resulting phase signal encoded onto binary carrier: h(x,y) = binary{sin[(2π f c + Φ(x,y)]} Patrick Naulleau 2008 EUV Workshop 6/12/

ELECTRONIC HOLOGRAPHY

ELECTRONIC HOLOGRAPHY ELECTRONIC HOLOGRAPHY CCD-camera replaces film as the recording medium. Electronic holography is better suited than film-based holography to quantitative applications including: - phase microscopy - metrology

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Chang Chang, Patrick Naulleau, Erik Anderson, Kristine Rosfjord,

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic P6-4 At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul E. Denham 1, Keith H. Jackson 1,

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Jason P. Cain, a1 Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul Denham 1, Keith Jackson

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

EUV Resists: Pushing to the Extreme

EUV Resists: Pushing to the Extreme Journal of Photopolymer Science and Technology Volume 27, Number 6 (2014) 725 730 2014SPST Technical Paper EUV Resists: Pushing to the Extreme Patrick Naulleau 1, Christopher Anderson 1, Weilun Chao 1,

More information

Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator

Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator Micro-Mechanical Slit Positioning System as a Transmissive Spatial Light Modulator Rainer Riesenberg Institute for Physical High Technology, P.O.Box 100 239, 07702 Jena, Germany ABSTRACT Micro-slits have

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Extreme Ultraviolet Lithography for 0.1 pm Devices

Extreme Ultraviolet Lithography for 0.1 pm Devices UCRL-JC-133192 Rev 1 PREPRINT Extreme Ultraviolet Lithography for 0.1 pm Devices S. Vaidya D. Sweeney R. Stulen D. Attwood This paper was prepared for submittal to the 1999 International Symposium on VLSI

More information

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline Focusing X-ray beams below 50 nm using bent multilayers O. Hignette Optics group European Synchrotron Radiation Facility (FRANCE) Outline Graded multilayers resolution limits 40 nanometers focusing Fabrication

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Technology Days GSFC Optics Technologies. Dr. Petar Arsenovic

Technology Days GSFC Optics Technologies. Dr. Petar Arsenovic Technology Days 2011 GSFC Optics Technologies Dr. Petar Arsenovic Optics Capabilities Optical Design and Analysis Opto-mechanical Design and Fabrication Materials and Thin Films Component Development and

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Impact of EUV photomask line edge roughness on wafer prints

Impact of EUV photomask line edge roughness on wafer prints Second Place, Best Poster Award Impact of EUV photomask line edge roughness on wafer prints Zhengqing John Qi* a, Emily Gallagher a, Yoshiyuki Negishi b, Gregory McIntyre c, Amy Zweber a, Tasuku Senna

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Slit. Spectral Dispersion

Slit. Spectral Dispersion Testing Method of Off-axis Parabolic Cylinder Mirror for FIMS K. S. Ryu a,j.edelstein b, J. B. Song c, Y. W. Lee c, J. S. Chae d, K. I. Seon e, I. S. Yuk e,e.korpela b, J. H. Seon a,u.w. Nam e, W. Han

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

QE65000 Spectrometer. Scientific-Grade Spectroscopy in a Small Footprint. now with. Spectrometers

QE65000 Spectrometer. Scientific-Grade Spectroscopy in a Small Footprint. now with. Spectrometers QE65000 Spectrometer Scientific-Grade Spectroscopy in a Small Footprint QE65000 The QE65000 Spectrometer is the most sensitive spectrometer we ve developed. Its Hamamatsu FFT-CCD detector provides 90%

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Optical Waveguide Types

Optical Waveguide Types 8 Refractive Micro Optics Optical Waveguide Types There are two main types of optical waveguide structures: the step index and the graded index. In a step-index waveguide, the interface between the core

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Preparations for EUV Interferometry of the 0.3 NA MET Optic Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Rekawa, Keith H. Jackson, J. Alexander Liddle, Bruce Harteneck, Eric Gullikson,

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

X-ray diffraction gratings: precise control of ultra-low blaze angle via anisotropic wet etching

X-ray diffraction gratings: precise control of ultra-low blaze angle via anisotropic wet etching X-ray diffraction gratings: precise control of ultra-low blaze angle via anisotropic wet etching D. L. Voronov 1, P. Lum 2, P. Naulleau 1, E. M. Gullikson 1, A. V. Fedorov 1, H. A. Padmore 1 1 Lawrence

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Status of EUVL Multilayer Optics Deposition at RIT

Status of EUVL Multilayer Optics Deposition at RIT Status of EUVL Multilayer Optics Deposition at RIT Yuriy Platonov, Jim Rodriguez, Mike Kriese, Vladimir Martynov Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn Hills, MI 48326, USA Outline RIT

More information

SENSOR+TEST Conference SENSOR 2009 Proceedings II

SENSOR+TEST Conference SENSOR 2009 Proceedings II B8.4 Optical 3D Measurement of Micro Structures Ettemeyer, Andreas; Marxer, Michael; Keferstein, Claus NTB Interstaatliche Hochschule für Technik Buchs Werdenbergstr. 4, 8471 Buchs, Switzerland Introduction

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Progress of Optical Design for EUV Lithography Tools in BIT

Progress of Optical Design for EUV Lithography Tools in BIT 2014 EUVL Workshop Progress of Optical Design for EUV Lithography Tools in BIT Yanqiu Li*, Zhen Cao, Fei Liu, Qiuli Mei, Yan Liu Beijing Institute of Technology, China E-mail:liyanqiu@bit.edu.cn June 25,

More information

Towards Contrast for Terrestrial Exoplanet Detection:

Towards Contrast for Terrestrial Exoplanet Detection: Towards 10 10 Contrast for Terrestrial Exoplanet Detection: Coronography Lab Results and Wavefront Control Methods Ruslan Belikov, Jeremy Kasdin, David Spergel, Robert J. Vanderbei, Michael Carr, Michael

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

SpectraPro 2150 Monochromators and Spectrographs

SpectraPro 2150 Monochromators and Spectrographs SpectraPro 215 Monochromators and Spectrographs SpectraPro 215 15 mm imaging spectrographs and monochromators from are the industry standard for researchers who demand the highest quality data. Acton monochromators

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures

Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures Maik Rahlves a, Maher Rezem a, Christian Kelb a, Kristian Boroz a, Dina Gödeke a, Sebastian

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Microlens array-based exit pupil expander for full color display applications

Microlens array-based exit pupil expander for full color display applications Proc. SPIE, Vol. 5456, in Photon Management, Strasbourg, France, April 2004 Microlens array-based exit pupil expander for full color display applications Hakan Urey a, Karlton D. Powell b a Optical Microsystems

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

Holographic Bragg Reflectors: Designs and Applications

Holographic Bragg Reflectors: Designs and Applications OTuP1.pdf 2009 OSA/OFC/NFOEC 2009 Holographic Bragg Reflectors: Designs and Applications T. W. Mossberg, C. Greiner, D. Iazikov LightSmyth Technologies OFC 2009 Review - Volume Holograms (mode-selective

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information