Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Size: px
Start display at page:

Download "Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh"

Transcription

1 Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

2 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory Sungmin Huh SEMATECH Contents Zoneplates Theory Chromatic aberration and order sorting Off-axis zoneplate Actinic Inspection Tool: a practical example Aberrations and zoneplate aligent Illumination and stray light Image samples 3

3 Zoneplates focus light using diffraction r r n r n 2 f n f 4N r 2 n Rayleigh resolution f (on-axis zoneplates) 1.22 r High quality zone plates of 12 outer zone widths have been successfully fabricated using a novel electron beam lithographic process. (W. Chao, J. Kim, S. Rekawa, P. Fischer, and E. Anderson, "HSQ Double Patterning Process for 12 Resolution X-Ray Zone Plates," J. Vac Sci Tech. B (submitted).) 4

4 Zoneplates are small Affordable ($50k) Highly customizable Multiple configurations Small flare* 130 µm 12 inches Small useful field of view Intrinsic chromatic aberration Multiple diffracted orders *Performance of actinic EUVL mask imaging using a zoneplate microscope, Kenneth A. Goldberg, et al., Proc. SPIE 6730, 67305E (2007) 5

5 Chromatic aberration: a liability turned into an asset f f 0 0 N zones EUV lasers*: 20,000 Monochromator : 1450 Focus change with small wavelength adjustments** Improved optical stability **K. A. Goldberg, I. Mochi, S. Huh, SPIE 7271, 72713N-1-8 (2009). *F. Brizuela et al, Opt. Lett. 34, (2009). 6

6 Through focus image series with wavelength change

7 Through focus image series with wavelength change

8 Through focus image series with wavelength change

9 Through focus image series with wavelength change

10 Through focus image series with wavelength change

11 Through focus image series with wavelength change

12 Through focus image series with wavelength change

13 Zoneplates diffract light into focused and diverging beams Diffraction order efficiency m 1/4 1 m m = 0 m odd m even -1th order Zero order -3th order -5th order Focal length f m f m 1th order Zero order 3th order 5th order 14

14 Order sorting with off-axis zoneplates D x Parent zoneplate center f 15

15 Order sorting with off-axis zoneplates x D Parent Zoneplate f NA 2 r NA _off sin arctan 2x 2 f D arctan x f sin arctan x f 2 arctan 2x 2 f D 16

16 The SEMATECH Berkeley Actnic Inspection Tool: an EUV zoneplate microscope for mask inspection Wavelength = 13.4 ± 0.01 (tunable) From synchrotron NA = (0.35 x4) (0.30 x4) (0.25 x4) Magnification = Si 3 N 4 17

17 LBNL 5 µm 7/15/09 EUV Litho Global Foundries MET 10

18 µm (object space) Aberration control Wavefront error (λ RMS) λ/4 λ/5 λ/7 λ/10 λ/20 Strehl Ratio µm (object space) µm (object space) I. Mochi et al., Improving the performance of the actinic inspection tool with an optimized aligent procedure, Proc. SPIE 7271, (2009). 19

19 µm (object space) Aberration control Wavefront error (λ RMS) 5 µm µm (object space) 20

20 Zoneplate aligent Scattering Surface Reflective Surface Zoneplate aligned and centered 21

21 30 µm Dark field effects AIT image Zoneplate B NA 0.25 (4x) Bright field Dark field 22

22 Patterned objects can cause background light We reduce stray light scattered from the pattern on the reticle by shrinking the illumination area Periodic pattern Illumination footprint q Image p Incoming beam Reticle Object 23

23 Patterned objects can cause background light We reduce stray light scattered from the pattern on the reticle by shrinking the illumination area Periodic pattern Illumination footprint q Image p Incoming beam Reticle Object 24

24 When the zoneplate is correctly aligned... Here are some of the latest images collected with the AIT 25

25 LBNL 5 µm 7/15/09 EUV Litho Global Foundries MET 10

26 LBNL 1 µm 80 lines 20 (4x) 2 µm 7/15/09 EUV Litho Global Foundries MET 10

27 LBNL 1 µm 75 lines (4x) 2 µm 7/15/09 EUV Litho Global Foundries MET 10

28 LBNL 1 µm 70 lines 17.5 (4x) 2 µm 7/15/09 EUV Litho Global Foundries MET 10

29 LBNL 1 µm 65 lines (4x) 2 µm 7/15/09 EUV Litho Global Foundries MET 10

30 Zoneplates come in exotic flavors Phase control Illumination uniformity improvement Aberration correction C. Chang et al., Optics Letters / Vol. 31, No. 10, (2006) A. Sakdinawat and Y. Liu, Optics Letters / Vol. 32, (2007). A. Sakdinawat and Y. Liu, Opt. Express 16, (2008). 31

31 Acknowledgments The authors gratefully thank all the members of the Center for X-Ray Optics at LNBL and particularly Weilun Chao, Anne Sakdinawat, Simi George and Patrick Naulleau. Special thanks to Bruno LaFontaine (Global Foundries) who gave us permission to show the images presented in this talk. 32

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Chang Chang, Patrick Naulleau, Erik Anderson, Kristine Rosfjord,

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Jason P. Cain, a1 Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic P6-4 At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul E. Denham 1, Keith H. Jackson 1,

More information

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING VINCENT WIAUX, VICKY PHILIPSEN, ERIC HENDRICKX EUVL WORKSHOP. BERKELEY, JUNE 13 th, 2018. PUBLIC EUV MASK 3D EFFECTS EXPERIMENTAL

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Reflection mode imaging with nanoscale resolution using a compact extreme ultraviolet laser

Reflection mode imaging with nanoscale resolution using a compact extreme ultraviolet laser Reflection mode imaging with nanoscale resolution using a compact extreme ultraviolet laser F. Brizuela, G. Vaschenko, C. Brewer, M. Grisham, C. S. Menoni, M. C. Marconi, and J. J. Rocca NSF ERC for Extreme

More information

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses.

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses. Mirrors and Lenses Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses. Notation for Mirrors and Lenses The object distance is the distance from the object

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Preparations for EUV Interferometry of the 0.3 NA MET Optic Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Rekawa, Keith H. Jackson, J. Alexander Liddle, Bruce Harteneck, Eric Gullikson,

More information

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy Bi177 Lecture 5 Adding the Third Dimension Wide-field Imaging Point Spread Function Deconvolution Confocal Laser Scanning Microscopy Confocal Aperture Optical aberrations Alternative Scanning Microscopy

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question.

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question. Name: Class: Date: Exam 4 Multiple Choice Identify the choice that best completes the statement or answers the question. 1. Mirages are a result of which physical phenomena a. interference c. reflection

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul Denham 1, Keith Jackson

More information

Optical Engineering 421/521 Sample Questions for Midterm 1

Optical Engineering 421/521 Sample Questions for Midterm 1 Optical Engineering 421/521 Sample Questions for Midterm 1 Short answer 1.) Sketch a pechan prism. Name a possible application of this prism., write the mirror matrix for this prism (or any other common

More information

Confocal Microscopy and Related Techniques

Confocal Microscopy and Related Techniques Confocal Microscopy and Related Techniques Chau-Hwang Lee Associate Research Fellow Research Center for Applied Sciences, Academia Sinica 128 Sec. 2, Academia Rd., Nankang, Taipei 11529, Taiwan E-mail:

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The Modulation Transfer Function (MTF) is a useful tool in system evaluation. t describes if, and how well, different spatial frequencies are transferred from object to image.

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

A New Inspection Method for a EUV Mask Defect Inspection System

A New Inspection Method for a EUV Mask Defect Inspection System A New Inspection Method for a EUV Mask Defect Inspection System Ding Qi 1, Kuen-Yu Tsai* 1, Jia-Han Li 2 1 Department of Electrical Engineering 2 Department of Engineering Science and Ocean National Taiwan

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Fiber Optic Communications

Fiber Optic Communications Fiber Optic Communications ( Chapter 2: Optics Review ) presented by Prof. Kwang-Chun Ho 1 Section 2.4: Numerical Aperture Consider an optical receiver: where the diameter of photodetector surface area

More information

Chapter 1. Basic Electron Optics (Lecture 2)

Chapter 1. Basic Electron Optics (Lecture 2) Chapter 1. Basic Electron Optics (Lecture 2) Basic concepts of microscope (Cont ) Fundamental properties of electrons Electron Scattering Instrumentation Basic conceptions of microscope (Cont ) Ray diagram

More information

A Tutorial on Electron Microscopy

A Tutorial on Electron Microscopy A Tutorial on Electron Microscopy Jian-Min (Jim) Zuo Mat. Sci. Eng. and Seitz-Materials Research Lab., UIUC Outline of This Tutorial I. Science and opportunities of electron microscopy II. The basic TEM,

More information

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI)

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Liang-Chia Chen 1#, Chao-Nan Chen 1 and Yi-Wei Chang 1 1. Institute of Automation Technology,

More information

First lithographic results from the extreme ultraviolet Engineering Test Stand

First lithographic results from the extreme ultraviolet Engineering Test Stand First lithographic results from the extreme ultraviolet Engineering Test Stand H. N. Chapman a) Lawrence Livermore National Laboratory, 7000 East Avenue, Livermore, California 94550 A. K. Ray-Chaudhuri,

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope Markus P Benk a), Kenneth A Goldberg, Antoine Wojdyla, Christopher N Anderson, Farhad Salmassi, Patrick P Naulleau Lawrence Berkeley

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Microscope anatomy, image formation and resolution

Microscope anatomy, image formation and resolution Microscope anatomy, image formation and resolution Ian Dobbie Buy this book for your lab: D.B. Murphy, "Fundamentals of light microscopy and electronic imaging", ISBN 0-471-25391-X Visit these websites:

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Shaping light in microscopy:

Shaping light in microscopy: Shaping light in microscopy: Adaptive optical methods and nonconventional beam shapes for enhanced imaging Martí Duocastella planet detector detector sample sample Aberrated wavefront Beamsplitter Adaptive

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam Diffraction Interference with more than 2 beams 3, 4, 5 beams Large number of beams Diffraction gratings Equation Uses Diffraction by an aperture Huygen s principle again, Fresnel zones, Arago s spot Qualitative

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Optical Design Forms for DUV&VUV Microlithographic Processes

Optical Design Forms for DUV&VUV Microlithographic Processes Optical Design Forms for DUV&VUV Microlithographic Processes James Webb, Julie Bentley, Paul Michaloski, Anthony Phillips, Ted Tienvieri Tropel Corporation, 60 O Connor Road, Fairport, NY 14450 USA, jwebb@tropel.com

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Progress of Optical Design for EUV Lithography Tools in BIT

Progress of Optical Design for EUV Lithography Tools in BIT 2014 EUVL Workshop Progress of Optical Design for EUV Lithography Tools in BIT Yanqiu Li*, Zhen Cao, Fei Liu, Qiuli Mei, Yan Liu Beijing Institute of Technology, China E-mail:liyanqiu@bit.edu.cn June 25,

More information

Lens Design I Seminar 5

Lens Design I Seminar 5 Y. Sekman, X. Lu, H. Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Lens Design I Seminar 5 Exercise 5-1: PSF scaling (Homework) To check the Airy

More information

Katarina Logg, Kristofer Bodvard, Mikael Käll. Dept. of Applied Physics. 12 September Optical Microscopy. Supervisor s signature:...

Katarina Logg, Kristofer Bodvard, Mikael Käll. Dept. of Applied Physics. 12 September Optical Microscopy. Supervisor s signature:... Katarina Logg, Kristofer Bodvard, Mikael Käll Dept. of Applied Physics 12 September 2007 O1 Optical Microscopy Name:.. Date:... Supervisor s signature:... Introduction Over the past decades, the number

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

Nanoscale Imaging with Extreme Ultraviolet Lasers

Nanoscale Imaging with Extreme Ultraviolet Lasers Nanoscale Imaging with Extreme Ultraviolet Lasers C. Brewer *, G. Vaschenko, F. Brizuela, M. Grisham, Y. Wang, M. A. Larotonda, B. M. Luther, C. S. Menoni, M. Marconi, and J. J. Rocca. NSF ERC for Extreme

More information

Optics for next generation light sources

Optics for next generation light sources Optics for next generation light sources Anton Barty Centre for Free Electron Laser Science Hamburg, Germany Key issues Optical specifications Metrology (mirror surfaces) Metrology (wavefront, focal spot)

More information

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects by Yow-Gwo Wang A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable.

1.1 Singlet. Solution. a) Starting setup: The two radii and the image distance is chosen as variable. 1 1.1 Singlet Optimize a single lens with the data λ = 546.07 nm, object in the distance 100 mm from the lens on axis only, focal length f = 45 mm and numerical aperture NA = 0.07 in the object space.

More information

Radial Polarization Converter With LC Driver USER MANUAL

Radial Polarization Converter With LC Driver USER MANUAL ARCoptix Radial Polarization Converter With LC Driver USER MANUAL Arcoptix S.A Ch. Trois-portes 18 2000 Neuchâtel Switzerland Mail: info@arcoptix.com Tel: ++41 32 731 04 66 Principle of the radial polarization

More information

Chapter 7. Optical Measurement and Interferometry

Chapter 7. Optical Measurement and Interferometry Chapter 7 Optical Measurement and Interferometry 1 Introduction Optical measurement provides a simple, easy, accurate and reliable means for carrying out inspection and measurements in the industry the

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Comparative Study of Mask Architectures for EUV Lithography

Comparative Study of Mask Architectures for EUV Lithography Comparative Study of Mask Architectures for EUV Lithography Adam R. Pawloski *a, Bruno La Fontaine a, Harry J. Levinson a, Stefan Hirscher b, Siegfried Schwarzl b, Klaus Lowack b, Frank-Michael Kamm b,

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

CHAPTER TWO METALLOGRAPHY & MICROSCOPY

CHAPTER TWO METALLOGRAPHY & MICROSCOPY CHAPTER TWO METALLOGRAPHY & MICROSCOPY 1. INTRODUCTION: Materials characterisation has two main aspects: Accurately measuring the physical, mechanical and chemical properties of materials Accurately measuring

More information

An Indian Journal FULL PAPER. Trade Science Inc. Parameters design of optical system in transmitive star simulator ABSTRACT KEYWORDS

An Indian Journal FULL PAPER. Trade Science Inc. Parameters design of optical system in transmitive star simulator ABSTRACT KEYWORDS [Type text] [Type text] [Type text] ISSN : 0974-7435 Volume 10 Issue 23 BioTechnology 2014 An Indian Journal FULL PAPER BTAIJ, 10(23), 2014 [14257-14264] Parameters design of optical system in transmitive

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 1. (Pedrotti 13-21) A glass plate is sprayed with uniform opaque particles. When a distant point

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Imaging in the EUV region. Eberhard Spiller

Imaging in the EUV region. Eberhard Spiller Imaging in the EUV region Eberhard Spiller Introduction to Imaging Applications Astronomy Microscopy EUV Lithography Direct Reconstruction E. Spiller, June 11, 2008 2 Imaging with light Waves move by λ

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Introduction to Light Microscopy. (Image: T. Wittman, Scripps)

Introduction to Light Microscopy. (Image: T. Wittman, Scripps) Introduction to Light Microscopy (Image: T. Wittman, Scripps) The Light Microscope Four centuries of history Vibrant current development One of the most widely used research tools A. Khodjakov et al. Major

More information

Variable microinspection system. system125

Variable microinspection system. system125 Variable microinspection system system125 Variable micro-inspection system Characteristics Large fields, high NA The variable microinspection system mag.x system125 stands out from conventional LD inspection

More information

Testing Aspherics Using Two-Wavelength Holography

Testing Aspherics Using Two-Wavelength Holography Reprinted from APPLIED OPTICS. Vol. 10, page 2113, September 1971 Copyright 1971 by the Optical Society of America and reprinted by permission of the copyright owner Testing Aspherics Using Two-Wavelength

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT Phase and Amplitude Control Ability using Spatial Light Modulators and Zero Path Length Difference Michelson Interferometer Michael G. Littman, Michael Carr, Jim Leighton, Ezekiel Burke, David Spergel

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Progress & actual performance of the Selete EUV1

Progress & actual performance of the Selete EUV1 Progress & actual performance of the Selete EUV1 Kazuo Tawarayama*, Hajime Aoyama, Kentaro Matsunaga, Shunko Magoshi Selete Suigen Kyoh, Yumi Nakajima, Satoshi Tanaka, TOSHIBA 1 Outline Introduction Tool

More information

Extreme Ultraviolet Lithography for 0.1 pm Devices

Extreme Ultraviolet Lithography for 0.1 pm Devices UCRL-JC-133192 Rev 1 PREPRINT Extreme Ultraviolet Lithography for 0.1 pm Devices S. Vaidya D. Sweeney R. Stulen D. Attwood This paper was prepared for submittal to the 1999 International Symposium on VLSI

More information

Exam 4--PHYS 102--S15

Exam 4--PHYS 102--S15 Name: Class: Date: Exam 4--PHYS 102--S15 Multiple Choice Identify the choice that best completes the statement or answers the question. 1. A mirror produces an upright image. The object is 2 cm high; the

More information

Chapter 23. Mirrors and Lenses

Chapter 23. Mirrors and Lenses Chapter 23 Mirrors and Lenses Mirrors and Lenses The development of mirrors and lenses aided the progress of science. It led to the microscopes and telescopes. Allowed the study of objects from microbes

More information

Tutorial Zemax 3 Aberrations

Tutorial Zemax 3 Aberrations Tutorial Zemax 3 Aberrations 2012-08-14 3 Aberrations 1 3.1 Exercise 3-1: Strehl ratio and geometrical vs Psf spot size... 1 3.2 Exercise 3-2: Performance of an achromate... 3 3.3 Exercise 3-3: Anamorphotic

More information

MULTI-MODE MICROSCOPY USING DIFFRACTIVE OPTICAL ELEMENTS

MULTI-MODE MICROSCOPY USING DIFFRACTIVE OPTICAL ELEMENTS Engineering Review Vol. 31, Issue 2, 133-139 133 UDC 681.723.067.28:532.42/.44:681.785.42 MULTI-MODE MICROSCOPY USING DIFFRACTIVE OPTICAL ELEMENTS Yan FENG Louisa SCHOLZ David LEE Heather DALGARNO David

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Applied Optics. , Physics Department (Room #36-401) , ,

Applied Optics. , Physics Department (Room #36-401) , , Applied Optics Professor, Physics Department (Room #36-401) 2290-0923, 019-539-0923, shsong@hanyang.ac.kr Office Hours Mondays 15:00-16:30, Wednesdays 15:00-16:30 TA (Ph.D. student, Room #36-415) 2290-0921,

More information

Tabletop-scale EUV coherent imaging using High Harmonic Light

Tabletop-scale EUV coherent imaging using High Harmonic Light Tabletop-scale EUV coherent imaging using High Harmonic Light Henry C. Kapteyn KMLabs Inc. and JILA SEM HHG CDI Talk overview Tabletop coherent EUV light sources high-order harmonic generation. Revolution

More information

Transmission Electron Microscopy 9. The Instrument. Outline

Transmission Electron Microscopy 9. The Instrument. Outline Transmission Electron Microscopy 9. The Instrument EMA 6518 Spring 2009 02/25/09 Outline The Illumination System The Objective Lens and Stage Forming Diffraction Patterns and Images Alignment and Stigmation

More information

EUV Resists: Pushing to the Extreme

EUV Resists: Pushing to the Extreme Journal of Photopolymer Science and Technology Volume 27, Number 6 (2014) 725 730 2014SPST Technical Paper EUV Resists: Pushing to the Extreme Patrick Naulleau 1, Christopher Anderson 1, Weilun Chao 1,

More information

Lecture 21. Physics 1202: Lecture 21 Today s Agenda

Lecture 21. Physics 1202: Lecture 21 Today s Agenda Physics 1202: Lecture 21 Today s Agenda Announcements: Team problems today Team 14: Gregory Desautels, Benjamin Hallisey, Kyle Mcginnis Team 15: Austin Dion, Nicholas Gandza, Paul Macgillis-Falcon Homework

More information