Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Size: px
Start display at page:

Download "Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer"

Transcription

1 Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of Super-Advanced Electronics Technology, Atsugi-shi, Kanagawa , Japan b Himeji Institute of Technology, Ako-gun, Hyogo , Japan ABSTRACT The precise alignment of Extreme Ultra-Violet Lithography (EUVL) imaging system is necessary in order to achieve diffraction-limited performance. Interferometric testing at the exposure wavelength is needed to ensure proper alignment and to achieve an acceptable fmal wavefront. We have built a prototype at-wavelength interferometer at the NewSUBARU facility. This interferometer is a phase-shifting point diffraction interferometer (PS/PDI) testing specially constructed Schwarzschild optics. Preliminary experiments using visible light were performed in order to learn the PS/PD!. The Schwarzschild optics were aligned using visible wavefront measurements with the interferometer. The precision of the visible measurements was evaluated. Experiments using EUV radiation have been started. Keywords: Optical testing, interferometry, phase-shifting point diffraction interferometer, EUV lithography 1. INTRODUCTION In order to achieve the required image quality, an EUVL optical system must be very precisely aligned. The allowable wavefront error of the optical system must be less than mm RMS for diffraction-limited imaging. The most practical means of ensuring proper alignment is through interferometric analysis of the wavefront emerging from the system. Interferometric data taken at many different points within the field can then be analyzed to determine the adjustments needed to bring the system into proper alignment. Since EUVL systems consist only of reflective elements, the interferometric testing can be done using either visible radiation (such as that produced by a He-Ne laser) or "at-wavelength" using 13.5nm radiation. A visible light interferometer suitable for testing the EUVL projection system has been developed at Lawrence Livermore National ry' Nevertheless, the use of visible radiation for interferometric testing has several drawbacks. First, the accuracy of the system (measured in a part of waves) must be much higher than when using 13.5nm radiation due to the difference in wavelength. For instance, to achieve an accuracy of O.25nm rms a visible light interferometer must have a resolution of /2500. For the same accuracy, an at-wavelength interferometer must achieve a resolution of/50. Second, visible radiation is reflected off of the top surface of the reflective multi-layer coating, leaving the structure of the multi-layer coating un-probed. Since errors in the multilayer can lead directly to errors in the wavefront, it is important that the system be tested at the exposure wavelength. Testing at-wavelength, however, poses significant problems since there can be no refractive material used. To solve this problem, a variation of the common Point Diffraction Interferometer (PD!) is used. This variation, the Phase Shifting Point Diffraction Interferometer (PS/PDI),25 has several distinguishing features. The system is relatively simple and there is no refractive material needed. As the name implies, the PS/PD! provides standard phase shifting capability, simplifying the analysis of the wavefront. In addition, the phase-shifting motion is determined by the geometry of the system and not the wavelength. Thus the motion is relatively large (in this case 3.75 imistep) and easy to make accurately. * Correspondence: sugisakieuv.aset-unet.ocn.ne.jp Soft X-Ray and EUV Imaging Systems, Winfried M. Kaiser, Richard H. Stulen, Editors, Proceedings of SPIE Vol (2000) 2000 SPIE X/00/$

2 In order to conduct a proof of concept, and to gain experience in the operation and manufacture of the at-wavelength interferometry, we have started to develop an interferometer called as the interferometric test stand (ITS).6 The ITS has been constructed at the undulator beam line at the NewSUBARU synchrotron radiation source. In this paper, we report on the present status of our system. Preliminary testing using visible radiation has been performed with the ITS. The undulator has been operating. We have started the at-wavelength experiments. 2. INTERFEROMETER TEST STAND (ITS) The ITS is designed as PS/PDI using radiations emitted from the undulator. The ITS consists of an initial pinhole, a diffraction grating, the optical element under test, a pinhole mask and a CCD camera. Figure 1 shows the concept of the PS/PDI. Initial Binary Test Pinhole Pinhole Grating Optic Mask Test Wavefront Pinhole Mask Camera Fig. I Concept ofthe PSIPDI. The initial pinhole is an optically small pinhole that diffracts light from the source into an essentially perfect diverging beam. It is placed at the object plane (mask side) of the imaging system. The grating is a coarse, freestanding binary diffraction grating that is placed before the optic under test. The pinhole mask is a freestanding mask, located at the image plane of the test optic (wafer-side), with an optically small pinhole next to a relatively large window. The light from the initial pinhole is diffracted by the grating into multiple diffraction orders. The system is aligned such that the un-diffracted 0th order falls on the pinhole of the pinhole mask, and the 1st order falls in the center of the window. All other orders are blocked by the mask. The 1st order passes through the window relatively unchanged while the 0th order is diffracted into a relatively perfect expanding beam. The two beams interfere and are imaged onto the CCD. By translating the grating perpendicularly to the grating lines, a relative phase-shift between the O and 1st orders can be achieved. The ITS is designed to be able to test by both visible and EUV radiations in order to learn about PS/PDI concept and compare the results using visible light and EUV radiations. The system is illustrated in Fig. 2. We prepared two sets of optical components. Each specifications are listed in table I. The window size of the pinhole mask is determined by the target spatial frequency region of a measured wavefront. The pitch ofthe grating is determined by the distance between the secondary pinhole and the window on the mask. In this case, the distance between the pinhole and the window on the mask is the same as the window size. Consequently, the target spatial frequency region determines the number of fringes and the pitch of the grating. To compare the results using visible and EUV radiation in the same spatial frequency region, we set the pitch of the grating for visible radiation the same as for EUV radiation. 48 Proc. SPIE Vol. 4146

3 Schwarzschild Optics NewSUBARU Monoch rometer Pinhole Grating Secondary Primary Pinhole Mirror Mirror Mask CCD Undulator BL9 Computer for Mechanical control Computer for Fringe analysis Fig. 2 Schematic diagram ofthe ITS. Table I. Optical components for visible and EUV radiation. Visth1eliht EUV Source He-Ne laser (632.8nm) Undulator (13.lnm) Initial Pinhole 3Oprn O.Sjim SUS sheet SUS foil Grating 1 5im pitch (66.7 lines/mm) 1 5.tm pitch (66.7 lines/mm) Crpauemongss FreestandingTapattem Schwarzschild Al coated Mo/Si multilayered Pinhole Mask 3.tm pinhole 65nm pinhole I 26.6j.tm Window 2.7jtm Window - c paftem :X Tapattem: Two sets of the Schwarzschild optics are specially manufactured as the test optics for visible and EUV radiation. These mirrors for visible radiation are coated with aluminum. The mirrors for EUV radiation are coated with and Mo/Si multilayers with peak reflectivity at 13.1 nm. The demagnification of the optics is 10:1 and it has a full aperture NA=O.1, which is obstructed by the primary mirror within the 34% of NA in diameter. The system is designed to be used on-axis using the full numerical aperture. The Schwarzschild optic and the pinhole mask mount are constructed as a single unit with invar bars to ensure temperature stability. The secondary mirror (the larger mirror) of the optics is mounted in a fixed mount while the primary mirror has adjusting capability to allow for aligning of the Schwarzschild system. The pinhole mask mount has translation capability along both transverse axes to allow for fine alignment of the mask pattern to the focal spots, and to allow for the changing of the mask patterns without the need to break the vacuum. The ITS has been constructed at the end of the undulator beam line at the NewSUBARU. Since the undulator can generate bright, quasi-monochromatic EUV radiation, it is suitable for the interferometry. Furthermore, the EUV radiation coming from the undulator is refined by passing through a monochrometer, which was designed to have resolution of more than Proc. SPIE Vol

4 1000. The EUV radiation focuses on the initial pinhole with the beam size ofabout 50 tim. 3. VISIBLE LIGHT TESTING Before performing the "at-wavelength" testing, we roughly evaluated the Schwarzschild optic using visible radiation. All of the optical components for visible light testing were then attached. In order to evaluate in the same region of the spatial frequency for both visible and EUV radiation, the pitch of the grating for visible light testing is the same as for EUV radiation. Since the visible beam largely diffracted by the grating compared to the BUy, we tilt the zero order beam against the optical axis to enter both 0th and 1st order beams into the pupil of the Schwarzschild optic as shown in Fig. 3. It is noted that the virtual object point of the 1st order beam, testing the optic, is on the optical axis. In this case, the pinhole acts as an ellipsoidal one because of the inclination of the pinhole against the incident beam, resulting in inducing a small astingmatism. Grating I 1st Order Beam Window Initial Pinhole 0th Order Beam Pinhole Fig. 3 Schematic diagram of the configuration of the visible testing. Figure 4 shows a typical image of interference fringes obtained using visible radiations. Phase-shifted fringe images were obtained by moving the grating. These images were analyzed by a computer software (Diffraction International Ltd.). The optical path difference (OPD) map of the wave front of the Schwarzschild optic is derived from the analysis. Fig. 4 Typical fringe image taken by the ITS using visible radiation. 50 Proc. SPIE Vol. 4146

5 Next, we aligned the Schwarzschild optic based on the measured wavefront error. Before alignment, the Schwarzschild optic has large coma and focus aberrations. These aberrations are produced by the decenter of primary mirror and defocus of the optics. The amounts of such aberrations generated by displacements of the primary mirror and camera focus were calculated (Table 2). This simple two-mirror camera does not have complicated relation between degrees of freedom of each mirrors and the aberrations induced by the misalignments. Therefore, the adjusting axes and amounts can be easily derived from this table. Table 2. Aberrations produced by the displacement of the optics. These values are Zernike coeffient magnitudes generated by moving each axes with 0.01mm. Zernikes X-decenter Y-decenter Primary Mirror move Camera focus zi Z Z Z z Z Z Z Z Unit: wave632.8nm Table 3 shows the result of the alignment using this procedure. Focus and coma Y were successfully removed. Coma X is remained because the decenter in x-axis of the primary mirror cannot be quantitatively controlled. Figure 5 shows the derived optical path difference (OPD) map after the alignment. The measured wavefront error is listed in Table 4. The tilt corresponds to 40 fringes. The obtained wavefront has large astingmatism. Astingmatism may be caused by the elliptic shape ofthe initial pinhole. Table 3. Result ofthe alignment. Aberration Before align. Axes Calc. Actual After align. Zernike #5 (Focus) wave Camera Z 3038 urn 2823 urn 0.00 wave Zemike #8 (Coma X) wave PM X 469 urn --- urn wave Zernike #9 (Coma Y) wave PM Y 1 50 urn 204 urn 0.00 wave After the alignment, we evaluated repeatability of the measurements that were measured with the sarne optical configuration. Table 4 also shows the dispersion ofthe rneasured aberrations. These figures indicate that our interferornetry using visible radiation is insufficient to align the EUVL optical system. Fig. 5 OPD map of the wave-front of the Schwarzschild optic after the alignment. Coma aberration is seen in this figure. The wave like firnire seen in this image due to the damaue in the mirror.. Proc. SPIE Vol

6 Table 4. Results of the measurements of the aberration and the dispersions. Average Standard deviation PV(wave) RMS (wave) Seidel Tilt (wave) Focus (wave) Astigmatism (wave) Coma(wave) Spherical (wave) Wave=632.8nm 4. PRELIMINARY EUV EXPERIMENT In order to learn the EUV system, we investigated the spectral characteristics of the Schwarzschild optic and ensure the alignment for the small pinhole using EUV radiation. 4.1.Alignment for the EUV system The multilayered mirrors of Schwarzschild optic were replaced to the mirrors for visible radiation. The mirrors were aligned by the same manner as for visible testing. The wavefront error of nm RMS was achieved. After the alignment, the pinhole, the grating and the pinhole mask for visible radiation were replaced with those for EUV. To evaluate the spectral characteristics of the Schwarzschild optic, we imaged the incident beam to the ITS using the Schwarzschild optic without the initial pinhole, the grating and the pinhole mask. The intensity of the incident beam changed with the wavelength. We assured that the maximum reflecting wavelength was 1 3.mmwhich was designed Alignment for the pinhole The alignment of the incident EUV beam to the pinhole was a difficult problem. First, we tried the alignment of the pinhole using relatively large pinhole of 30 j.tm. The beam was aligned using He-Ne laser. We then aligned the EUV beam to go to the same path of He-Ne laser. It was neccesary to slightly adjust the EUV beam to concentrate on the pinhole. The EUV beam passing through the pinhole was successftilly imaged by the CCD. Furthermore, we verified the alignment procedure using 0.5 pm pinhole, which will be used for evaluating in the full aperture of the Schwarzschild optic. To use the same procedure, the EUV beam aligned to concentrate on the pinhole. The EUV radiation passing through the 0.Sjim pinhole was detected by a MCP as a monitor. 5. CONCLUSIONS The ASET ITS has been constructed at the end of the undulator beam line at the NewSUBARU facility. We have started the experiments and. visible testing was performed before EUV testing. The wavefront errors of the Schwarzschild optic were measured by analyzing the interferograms which were obtained by the same PS/PDI system as for EUV. The precision of the PS/PDI measurement using visible radiation was evaluated. Preliminary experiment using EUV radiation emitted from the undulator was performed. We will continue the experiments and evaluate the effectiveness of the at-wavelength testing. ACKNOWLEDGEMENTS The authors would like to thank K. A. Goldberg, P. Naulleau, J. Boker and D. Attwood of Center for X-ray Optics, Lawrence Berkeley National Laboratory for valuable discussion. This research was supported by NEDO as a Ministry of 52 Proc. SPIE Vol. 4146

7 International Trade and Industry project. REFERENCES 1. G. E. Sommargren, "Phase shifting diffraction interferometry for measuring extreme ultraviolet optics," in OSA Trends in Optics and Photonics, Vol. 4. Extreme Ultraviolet Lithography, G. D. Kubiak and D. R. Kania, Eds., Optical Society ofamerica, Washington, D. C., , K. A. Goldberg, R. Beguiristain, J. Bokor, H. Medecki, D. T. Attwood, K. Jackson, E. Tejnil, G. E. Sommargren, "Progress toward A /20 extreme ultraviolet interferometry," J. Vac. Sci. Technol. B13(6), , H. Medecki, E. Tejnil, K. A. Goldberg, J. Bokor, "Phase-shifting point diffraction interferometer," Opt. Left. 21 (19), , E. Tejnil, K. A. Goldberg, S. Lee, H. Medecki, P. J. Batson, P. E. Denham, A. A. MacDowel!, J. Bokor, D. Attwood, "At-wavelength interferometry for extreme ultraviolet lithography," J. Vac. Sci. Technol., B 15(6), , P. P. Naulleau, K. A. Goldberg, S. H. Lee, C. Chang, D. Attwood, J. Bokor, "Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy," Appi. Opt., 38 (35), , B. Jacobsen, Y. Gomei, H. Kinoshita, T. Watanabe, S. Kakunai, "Planned EUVL at-wavelength interferometer at the New Subaru facility," Proceedings on1999 International Workshop on X-ray and Extreme Ultraviolet Lithography, 2-2-2, Yokohama, Proc. SPIE Vol

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic

At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic P6-4 At-wavelength characterization of the EUV Engineering Test Stand Set-2 optic Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul E. Denham 1, Keith H. Jackson 1,

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Preparations for EUV Interferometry of the 0.3 NA MET Optic Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Rekawa, Keith H. Jackson, J. Alexander Liddle, Bruce Harteneck, Eric Gullikson,

More information

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul Denham 1, Keith Jackson

More information

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Chang Chang, Patrick Naulleau, Erik Anderson, Kristine Rosfjord,

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Extreme Ultraviolet Lithography for 0.1 pm Devices

Extreme Ultraviolet Lithography for 0.1 pm Devices UCRL-JC-133192 Rev 1 PREPRINT Extreme Ultraviolet Lithography for 0.1 pm Devices S. Vaidya D. Sweeney R. Stulen D. Attwood This paper was prepared for submittal to the 1999 International Symposium on VLSI

More information

First lithographic results from the extreme ultraviolet Engineering Test Stand

First lithographic results from the extreme ultraviolet Engineering Test Stand First lithographic results from the extreme ultraviolet Engineering Test Stand H. N. Chapman a) Lawrence Livermore National Laboratory, 7000 East Avenue, Livermore, California 94550 A. K. Ray-Chaudhuri,

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor

VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor VATT Optical Performance During 98 Oct as Measured with an Interferometric Hartmann Wavefront Sensor S. C. West, D. Fisher Multiple Mirror Telescope Observatory M. Nelson Vatican Advanced Technology Telescope

More information

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Measurement of low-order aberrations with an autostigmatic microscope William P. Kuhn Measurement of low-order aberrations with

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Use of Computer Generated Holograms for Testing Aspheric Optics

Use of Computer Generated Holograms for Testing Aspheric Optics Use of Computer Generated Holograms for Testing Aspheric Optics James H. Burge and James C. Wyant Optical Sciences Center, University of Arizona, Tucson, AZ 85721 http://www.optics.arizona.edu/jcwyant,

More information

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Qiyuan Song (M2) and Aoi Nakamura (B4) Abstracts: We theoretically and experimentally

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

Fabrication of large grating by monitoring the latent fringe pattern

Fabrication of large grating by monitoring the latent fringe pattern Fabrication of large grating by monitoring the latent fringe pattern Lijiang Zeng a, Lei Shi b, and Lifeng Li c State Key Laboratory of Precision Measurement Technology and Instruments Department of Precision

More information

Slit. Spectral Dispersion

Slit. Spectral Dispersion Testing Method of Off-axis Parabolic Cylinder Mirror for FIMS K. S. Ryu a,j.edelstein b, J. B. Song c, Y. W. Lee c, J. S. Chae d, K. I. Seon e, I. S. Yuk e,e.korpela b, J. H. Seon a,u.w. Nam e, W. Han

More information

Testing Aspherics Using Two-Wavelength Holography

Testing Aspherics Using Two-Wavelength Holography Reprinted from APPLIED OPTICS. Vol. 10, page 2113, September 1971 Copyright 1971 by the Optical Society of America and reprinted by permission of the copyright owner Testing Aspherics Using Two-Wavelength

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b

X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b X-ray mirror metrology using SCOTS/deflectometry Run Huang a, Peng Su a*, James H. Burge a and Mourad Idir b a College of Optical Sciences, the University of Arizona, Tucson, AZ 85721, U.S.A. b Brookhaven

More information

Solution of Exercises Lecture Optical design with Zemax Part 6

Solution of Exercises Lecture Optical design with Zemax Part 6 2013-06-17 Prof. Herbert Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Solution of Exercises Lecture Optical design with Zemax Part 6 6 Illumination

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

Displacement sensor by a common-path interferometer

Displacement sensor by a common-path interferometer Displacement sensor by a common-path interferometer Kazuhide KAMIYA *a, Takashi NOMURA *a, Shinta HIDAKA *a, Hatsuzo TASHIRO **b, Masayuki MINO +c, Seiichi OKUDA ++d a Facility of Engineering, Toyama Prefectural

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces

The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces The Design, Fabrication, and Application of Diamond Machined Null Lenses for Testing Generalized Aspheric Surfaces James T. McCann OFC - Diamond Turning Division 69T Island Street, Keene New Hampshire

More information

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment

CHARA Collaboration Review New York 2007 CHARA Telescope Alignment CHARA Telescope Alignment By Laszlo Sturmann Mersenne (Cassegrain type) Telescope M2 140 mm R= 625 mm k = -1 M1/M2 provides an afocal optical system 1 m input beam and 0.125 m collimated output beam Aplanatic

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

Extreme ultraviolet interference lithography with incoherent light

Extreme ultraviolet interference lithography with incoherent light Extreme ultraviolet interference lithography with incoherent light Patrick P. Naulleau, 1 Christopher N. Anderson, 2 and Stephen F. Horne 3 1 Center for X-Ray Optics, Lawrence Berkeley National Laboratory,

More information

Chapter 36: diffraction

Chapter 36: diffraction Chapter 36: diffraction Fresnel and Fraunhofer diffraction Diffraction from a single slit Intensity in the single slit pattern Multiple slits The Diffraction grating X-ray diffraction Circular apertures

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

OPTICAL IMAGING AND ABERRATIONS

OPTICAL IMAGING AND ABERRATIONS OPTICAL IMAGING AND ABERRATIONS PARTI RAY GEOMETRICAL OPTICS VIRENDRA N. MAHAJAN THE AEROSPACE CORPORATION AND THE UNIVERSITY OF SOUTHERN CALIFORNIA SPIE O P T I C A L E N G I N E E R I N G P R E S S A

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question.

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question. Name: Class: Date: Exam 4 Multiple Choice Identify the choice that best completes the statement or answers the question. 1. Mirages are a result of which physical phenomena a. interference c. reflection

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Collimation Tester Instructions

Collimation Tester Instructions Description Use shear-plate collimation testers to examine and adjust the collimation of laser light, or to measure the wavefront curvature and divergence/convergence magnitude of large-radius optical

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres

Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres Fizeau interferometer with spherical reference and CGH correction for measuring large convex aspheres M. B. Dubin, P. Su and J. H. Burge College of Optical Sciences, The University of Arizona 1630 E. University

More information

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes

Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes Fabrication of 6.5 m f/1.25 Mirrors for the MMT and Magellan Telescopes H. M. Martin, R. G. Allen, J. H. Burge, L. R. Dettmann, D. A. Ketelsen, W. C. Kittrell, S. M. Miller and S. C. West Steward Observatory,

More information

New opportunities of freeform gratings using diamond machining

New opportunities of freeform gratings using diamond machining New opportunities of freeform gratings using diamond machining Dispersing elements for Astronomy: new trends and possibilities 11/10/17 Cyril Bourgenot Ariadna Calcines Ray Sharples Plan of the talk Introduction

More information

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG C. Schnitzler a, S. Hambuecker a, O. Ruebenach a, V. Sinhoff a, G. Steckman b, L. West b, C. Wessling c, D. Hoffmann

More information

Design of the cryo-optical test of the Planck reflectors

Design of the cryo-optical test of the Planck reflectors Design of the cryo-optical test of the Planck reflectors S. Roose, A. Cucchiaro & D. de Chambure* Centre Spatial de Liège, Avenue du Pré-Aily, B-4031 Angleur-Liège, Belgium *ESTEC, Planck project, Keplerlaan

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Compensation of hologram distortion by controlling defocus component in reference beam wavefront for angle multiplexed holograms

Compensation of hologram distortion by controlling defocus component in reference beam wavefront for angle multiplexed holograms J. Europ. Opt. Soc. Rap. Public. 8, 13080 (2013) www.jeos.org Compensation of hologram distortion by controlling defocus component in reference beam wavefront for angle multiplexed holograms T. Muroi muroi.t-hc@nhk.or.jp

More information

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors

An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors An Off-Axis Hartmann Sensor for Measurement of Wavefront Distortion in Interferometric Detectors Aidan Brooks, Peter Veitch, Jesper Munch Department of Physics, University of Adelaide Outline of Talk Discuss

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS Optics and Photonics Letters Vol. 4, No. 2 (2011) 75 81 c World Scientific Publishing Company DOI: 10.1142/S1793528811000226 UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS ANDREW

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

OPTINO. SpotOptics VERSATILE WAVEFRONT SENSOR O P T I N O

OPTINO. SpotOptics VERSATILE WAVEFRONT SENSOR O P T I N O Spotptics he software people for optics VERSALE WAVEFR SESR Accurate metrology in single and double pass Lenses, mirrors and laser beams Any focal length and diameter Large dynamic range Adaptable for

More information

Electrowetting-Based Variable-Focus Lens for Miniature Systems

Electrowetting-Based Variable-Focus Lens for Miniature Systems OPTICAL REVIEW Vol. 12, No. 3 (2005) 255 259 Electrowetting-Based Variable-Focus Lens for Miniature Systems B. H. W. HENDRIKS, S.KUIPER, M.A.J.VAN AS, C.A.RENDERS and T. W. TUKKER Philips Research Laboratories,

More information

Solution of Exercises Lecture Optical design with Zemax for PhD Part 8

Solution of Exercises Lecture Optical design with Zemax for PhD Part 8 2013-06-17 Prof. Herbert Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Solution of Exercises Lecture Optical design with Zemax for PhD Part 8 8.1

More information

Diffractive interferometer for visualization and measurement of optical inhomogeneities

Diffractive interferometer for visualization and measurement of optical inhomogeneities Diffractive interferometer for visualization and measurement of optical inhomogeneities Irina G. Palchikova,2, Ivan А. Yurlagin 2 Technological Design Institute of Scientific Instrument Engineering (TDI

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

OPTICS DIVISION B. School/#: Names:

OPTICS DIVISION B. School/#: Names: OPTICS DIVISION B School/#: Names: Directions: Fill in your response for each question in the space provided. All questions are worth two points. Multiple Choice (2 points each question) 1. Which of the

More information

BEAM HALO OBSERVATION BY CORONAGRAPH

BEAM HALO OBSERVATION BY CORONAGRAPH BEAM HALO OBSERVATION BY CORONAGRAPH T. Mitsuhashi, KEK, TSUKUBA, Japan Abstract We have developed a coronagraph for the observation of the beam halo surrounding a beam. An opaque disk is set in the beam

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Puntino. Shack-Hartmann wavefront sensor for optimizing telescopes. The software people for optics

Puntino. Shack-Hartmann wavefront sensor for optimizing telescopes. The software people for optics Puntino Shack-Hartmann wavefront sensor for optimizing telescopes 1 1. Optimize telescope performance with a powerful set of tools A finely tuned telescope is the key to obtaining deep, high-quality astronomical

More information

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING

USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING 14 USE OF COMPUTER- GENERATED HOLOGRAMS IN OPTICAL TESTING Katherine Creath College of Optical Sciences University of Arizona Tucson, Arizona Optineering Tucson, Arizona James C. Wyant College of Optical

More information

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam Diffraction Interference with more than 2 beams 3, 4, 5 beams Large number of beams Diffraction gratings Equation Uses Diffraction by an aperture Huygen s principle again, Fresnel zones, Arago s spot Qualitative

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Sergei Amirian Moscow institute of physics and technology DESY, Zeuthen, September 2005 Email:serami85@yahoo.com

More information

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT Phase and Amplitude Control Ability using Spatial Light Modulators and Zero Path Length Difference Michelson Interferometer Michael G. Littman, Michael Carr, Jim Leighton, Ezekiel Burke, David Spergel

More information

Investigation of an optical sensor for small angle detection

Investigation of an optical sensor for small angle detection Investigation of an optical sensor for small angle detection usuke Saito, oshikazu rai and Wei Gao Nano-Metrology and Control Lab epartment of Nanomechanics Graduate School of Engineering, Tohoku University

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Asphere testing with a Fizeau interferometer based on a combined computer-generated hologram

Asphere testing with a Fizeau interferometer based on a combined computer-generated hologram 172 J. Opt. Soc. Am. A/ Vol. 23, No. 1/ January 2006 J.-M. Asfour and A. G. Poleshchuk Asphere testing with a Fizeau interferometer based on a combined computer-generated hologram Jean-Michel Asfour Dioptic

More information

Laboratory experiment aberrations

Laboratory experiment aberrations Laboratory experiment aberrations Obligatory laboratory experiment on course in Optical design, SK2330/SK3330, KTH. Date Name Pass Objective This laboratory experiment is intended to demonstrate the most

More information

The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine:

The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine: The following article is a translation of parts of the original publication of Karl-Ludwig Bath in the german astronomical magazine: Sterne und Weltraum 1973/6, p.177-180. The publication of this translation

More information

Explanation of Aberration and Wavefront

Explanation of Aberration and Wavefront Explanation of Aberration and Wavefront 1. What Causes Blur? 2. What is? 4. What is wavefront? 5. Hartmann-Shack Aberrometer 6. Adoption of wavefront technology David Oh 1. What Causes Blur? 2. What is?

More information

Tutorial Zemax Introduction 1

Tutorial Zemax Introduction 1 Tutorial Zemax Introduction 1 2012-07-17 1 Introduction 1 1.1 Exercise 1-1: Stair-mirror-setup... 1 1.2 Exercise 1-2: Symmetrical 4f-system... 5 1 Introduction 1.1 Exercise 1-1: Stair-mirror-setup Setup

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

APPLICATION OF A POINT-DIFFRACTION INTERFEROMETER TO UNSTEADY SHOCK WAVE PHENOMENA

APPLICATION OF A POINT-DIFFRACTION INTERFEROMETER TO UNSTEADY SHOCK WAVE PHENOMENA 15 th International Symposium on Flow Visualization June 25-28, 2012, Minsk, Belarus APPLICATION OF A POINT-DIFFRACTION INTERFEROMETER Daiju Numata 1,c, Kiyonobu Ohtani 2 1 Tohoku University, 6-6-01 Aramaki-Aza-Aoba,

More information

Null Hartmann test for the fabrication of large aspheric surfaces

Null Hartmann test for the fabrication of large aspheric surfaces Null Hartmann test for the fabrication of large aspheric surfaces Ho-Soon Yang, Yun-Woo Lee, Jae-Bong Song, and In-Won Lee Korea Research Institute of Standards and Science, P.O. Box 102, Yuseong, Daejon

More information

Measurement of a convex secondary mirror using a

Measurement of a convex secondary mirror using a Measurement of a convex secondary mirror using a holographic test plate J, H. Burget*, D. S. Andersont, T. D. Milster, and C. L. Verno1d. tsteward Observatory and *Optical Sciences Center University of

More information

Off-axis mirror fabrication from spherical surfaces under mechanical stress

Off-axis mirror fabrication from spherical surfaces under mechanical stress Off-axis mirror fabrication from spherical surfaces under mechanical stress R. Izazaga-Pérez*, D. Aguirre-Aguirre, M. E. Percino-Zacarías, and F. S. Granados-Agustín Instituto Nacional de Astrofísica,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information