Development of ultra-fine structure t metrology system using coherent EUV source

Size: px
Start display at page:

Download "Development of ultra-fine structure t metrology system using coherent EUV source"

Transcription

1 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada 1,3, and Takeo Watanabe 1,3 Riken 2 Yutaka Nagata 2,3, JST CREST 3

2 Technical issues of EUVL Absorber pattern Substrate Mo/Si ML Mo 3nm Si 4nm Focus area of EUVL on 2008 EUVL Symposium Defect free mask is the critical issue of the EUV Lithography. Amplitude Development of an actinic mask Phase inspection tool Glass Glass Target:<25 nm

3 Technical background:actinic Mask Inspection System using EUV microscope has been developed. SR (EUV) 13.5nm Turning mirror Elbow pattern Schwarzschild objective (NA 0.3, 30X) Electromagnetic lens Turning mirror (10~200X) CCD camera (6 µm/pixel) Photocathode MCP (CsI) X-ray zooming tube Dot pattern Mask Line phase defect Dot phase defect Resolution limit estimated to be 50 nm. A new system with higher NA is required, but

4 Coherent Scattering EUV Microscope (CSM) CSM at New SUBARU BL-3 Mo/Si Concave EUV CCD m 2048pxl Pinhole Mo/Si Flat SR EUVL Mask 6 deg 50 mm White SR beam was monochromatized with Mo/Si MLs and a Zr filter. Spatial coherence is obtained through pinhole Φ5 m diameter. NA is defined by the size of CCD and the distance between CCD and mask. To clarify the shadowing effect, Incident angle was set to 6 deg.

5 Principle of new system Imaging theory of Fraunhofer diffraction Pupil plane Imaging plane -1order 0th +1order Sample Fourier Transforom Inverse Fourier Transform X-Y Stage -2 order -1 order 0th +1 order +2 order Sample X-ray CCD Cohertnt light source Computer This lensless method is Discovery Science and technology Based on optical principal.

6 Advantages of this system 1)Resolution is equivalent to the wavelength to be used. 2)Since objective and focus detector are not required, system can be constructed at a low cost. 3)Footprint is small by using a HHG Laser system. 4)Inspection time will be improved by the development of most suitable algorism. Ultimate inspection technology by light

7 Image Reconstruction Algorithm The phase information is essential for image reconstruction Hybrid Input Output(HIO) Algorithm Known in the X-ray diffraction microscopy Restriction: recorded unexposed area information must be ZERO Phase Reconstruction with iteration of Fourier transform (FT) and Invert FT. Over Sampling (Restriction) Frequency space 周波数空間 CSM Data Phase Invert FT Real space EUV Exposure Area Revise Amplitude Phase Fourier Transform The information of unexposed area must be ZERO.

8 Observation Result (1) CSM observation result of our samples, and reconstructed image with the CCD data CCD Data Reconstructed image hp200 nm Line & Space time 12 min 5 m Magnify hp100 nm Line & Space time 8 min Magnify L:S ~ 1:1 Sample

9 Observation Result (2) hp300 nm, Line & Space, 10 min Reconstructed image SEM image Magnify hp 400 nm, Hole, 10 min Reconstructed image SEM image Magnify A Line width of 300 nm hp was well corresponding with a SEM image. A hole pattern of 400 nm hp was reconstructed.

10 Simulation of the HIO Algorithm Original Image Original and Exposed Area Calculated FT Amplitude pixel 128 pixel (Magnify) Iteration numbe er (16 s)

11 Example of reconstructed images 132nm Gate 120nm Contact 132nm Bit Line

12 Reconstructed image of mask Defect on mask 107nm 107nm SEM image CSM Image By Dong Gun Lee

13 Critical dimension measurement method 測定値 I 0 Measurement Normalize I n I -1 I 1 I 0ex I I -2 I 2 Fraunhofer Diffraction I = I 0 Sinβ β 2 SinNα 2 α Theoretical value I 0.6 = kasinθ = kbsinθ n α 2, β 2 I 0th 0.4 Calculate K=wave number a=pitch b=cd 0.2 ΔI Verification Fitting Fitting Curve n

14 Comparison between CSM and CD-SEM 40 CD (nm) 40 CD (nm) Y (mm) Y (mm) X (mm) CSM uniformity it map 3σ=4.7 nm Pitch 256nm X (mm) SEM uniformity it map 3σ=4.4 nm 144 CSM CD (nm) R (Correlation Coefficient) = SEM CD (nm) Good Correlation was obtained! By Dong gun Lee

15 New CSM system with HHG Collaboration work with RIKEN and Osaka univ. High order harmonics (59 th nm) of Sub tera-watt femto-second laser( 800 nm) CSM EUV CCD Pinhole Zr/Si Filter Spitfire (Spectra Physics) 35 fs, 5 mj, 1 khz Shutter Ionization gas cell Diffraction 6 deg femto-second laser monochromator XY Stage with encoder HHG Coherent EUV source Vacuum Chamber

16 A new metrology system development from to Coherent Scattering System ( ) Commercial System Development University of Hyogo High hermonic generated High power source coherent source ( ) 2012) by Osaka Univ. ( )Riken 250 W(607 nm,10 fs,5 khz,50 mj Ti/sappire 35 fs,1 khz,5 mj 1 nw 50 μw(13.5 nm,50 fs,5 khz,0.5 nj Funded by JST CREST

17 Conclusion A coherent scattering EUV microscope has been developed. Up to now, the LS patterns of 100 nm hp and the hole pattern of 120 nm hp was reconstructed. CD values of 256 nm pitch L&S were measured. This value was good correlation with CD-SEM. We are developing a new CSM system with high order harmonic EUV light in collaboration with RIKEN and Osaka Univ..

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

COMPUTATIONAL IMAGING. Berthold K.P. Horn

COMPUTATIONAL IMAGING. Berthold K.P. Horn COMPUTATIONAL IMAGING Berthold K.P. Horn What is Computational Imaging? Computation inherent in image formation What is Computational Imaging? Computation inherent in image formation (1) Computing is getting

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Tabletop-scale EUV coherent imaging using High Harmonic Light

Tabletop-scale EUV coherent imaging using High Harmonic Light Tabletop-scale EUV coherent imaging using High Harmonic Light Henry C. Kapteyn KMLabs Inc. and JILA SEM HHG CDI Talk overview Tabletop coherent EUV light sources high-order harmonic generation. Revolution

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

PHY385H1F Introductory Optics. Practicals Session 7 Studying for Test 2

PHY385H1F Introductory Optics. Practicals Session 7 Studying for Test 2 PHY385H1F Introductory Optics Practicals Session 7 Studying for Test 2 Entrance Pupil & Exit Pupil A Cooke-triplet consists of three thin lenses in succession, and is often used in cameras. It was patented

More information

Chapter 3 Op,cal Instrumenta,on

Chapter 3 Op,cal Instrumenta,on Imaging by an Op,cal System Change in curvature of wavefronts by a thin lens Chapter 3 Op,cal Instrumenta,on 3-1 Stops, Pupils, and Windows 3-4 The Camera 3-5 Simple Magnifiers and Eyepieces 1. Magnifiers

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

a) How big will that physical image of the cells be your camera sensor?

a) How big will that physical image of the cells be your camera sensor? 1. Consider a regular wide-field microscope set up with a 60x, NA = 1.4 objective and a monochromatic digital camera with 8 um pixels, properly positioned in the primary image plane. This microscope is

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Observational Astronomy

Observational Astronomy Observational Astronomy Instruments The telescope- instruments combination forms a tightly coupled system: Telescope = collecting photons and forming an image Instruments = registering and analyzing the

More information

Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4

Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4 Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4 S.V. Roth, R. Döhrmann, M. Dommach, I. Kröger, T. Schubert, R. Gehrke Definition of the upgrade The wiggler beamline BW4 is dedicated to

More information

Dynamic beam shaping with programmable diffractive optics

Dynamic beam shaping with programmable diffractive optics Dynamic beam shaping with programmable diffractive optics Bosanta R. Boruah Dept. of Physics, GU Page 1 Outline of the talk Introduction Holography Programmable diffractive optics Laser scanning confocal

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1 TSBB09 Image Sensors 2018-HT2 Image Formation Part 1 Basic physics Electromagnetic radiation consists of electromagnetic waves With energy That propagate through space The waves consist of transversal

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Test Review # 8. Physics R: Form TR8.17A. Primary colors of light

Test Review # 8. Physics R: Form TR8.17A. Primary colors of light Physics R: Form TR8.17A TEST 8 REVIEW Name Date Period Test Review # 8 Light and Color. Color comes from light, an electromagnetic wave that travels in straight lines in all directions from a light source

More information

Imaging in the EUV region. Eberhard Spiller

Imaging in the EUV region. Eberhard Spiller Imaging in the EUV region Eberhard Spiller Introduction to Imaging Applications Astronomy Microscopy EUV Lithography Direct Reconstruction E. Spiller, June 11, 2008 2 Imaging with light Waves move by λ

More information

Examination, TEN1, in courses SK2500/SK2501, Physics of Biomedical Microscopy,

Examination, TEN1, in courses SK2500/SK2501, Physics of Biomedical Microscopy, KTH Applied Physics Examination, TEN1, in courses SK2500/SK2501, Physics of Biomedical Microscopy, 2009-06-05, 8-13, FB51 Allowed aids: Compendium Imaging Physics (handed out) Compendium Light Microscopy

More information

Chapter 3 Op+cal Instrumenta+on

Chapter 3 Op+cal Instrumenta+on Chapter 3 Op+cal Instrumenta+on 3-1 Stops, Pupils, and Windows 3-4 The Camera 3-5 Simple Magnifiers and Eyepieces 3-6 Microscopes 3-7 Telescopes Today (2011-09-22) 1. Magnifiers 2. Camera 3. Resolution

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36

Image Formation. Light from distant things. Geometrical optics. Pinhole camera. Chapter 36 Light from distant things Chapter 36 We learn about a distant thing from the light it generates or redirects. The lenses in our eyes create images of objects our brains can process. This chapter concerns

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

A New Inspection Method for a EUV Mask Defect Inspection System

A New Inspection Method for a EUV Mask Defect Inspection System A New Inspection Method for a EUV Mask Defect Inspection System Ding Qi 1, Kuen-Yu Tsai* 1, Jia-Han Li 2 1 Department of Electrical Engineering 2 Department of Engineering Science and Ocean National Taiwan

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Block 3: Physics of Waves. Chapter 12: Sound. Relate pitch and loudness to frequency and amplitude Describe how sound travels

Block 3: Physics of Waves. Chapter 12: Sound. Relate pitch and loudness to frequency and amplitude Describe how sound travels Chapter 12: Sound Describe production of sounds Measure the speed of sound Relate pitch and loudness to frequency and amplitude Describe how sound travels Sound is a longitudinal (compression) wave Sound

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Test procedures Page: 1 of 5

Test procedures Page: 1 of 5 Test procedures Page: 1 of 5 1 Scope This part of document establishes uniform requirements for measuring the numerical aperture of optical fibre, thereby assisting in the inspection of fibres and cables

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

1 Laboratory 7: Fourier Optics

1 Laboratory 7: Fourier Optics 1051-455-20073 Physical Optics 1 Laboratory 7: Fourier Optics 1.1 Theory: References: Introduction to Optics Pedrottis Chapters 11 and 21 Optics E. Hecht Chapters 10 and 11 The Fourier transform is an

More information

Tabletop coherent diffractive microscopy with extreme ultraviolet light from high harmonic generation

Tabletop coherent diffractive microscopy with extreme ultraviolet light from high harmonic generation Best Student Paper Award Tabletop coherent diffractive microscopy with extreme ultraviolet light from high harmonic generation Daisy A. Raymondson* a, Richard L. Sandberg a, William F. Schlotter b, Kevin

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

Integrated into Nanowire Waveguides

Integrated into Nanowire Waveguides Supporting Information Widely Tunable Distributed Bragg Reflectors Integrated into Nanowire Waveguides Anthony Fu, 1,3 Hanwei Gao, 1,3,4 Petar Petrov, 1, Peidong Yang 1,2,3* 1 Department of Chemistry,

More information

Εισαγωγική στην Οπτική Απεικόνιση

Εισαγωγική στην Οπτική Απεικόνιση Εισαγωγική στην Οπτική Απεικόνιση Δημήτριος Τζεράνης, Ph.D. Εμβιομηχανική και Βιοϊατρική Τεχνολογία Τμήμα Μηχανολόγων Μηχανικών Ε.Μ.Π. Χειμερινό Εξάμηνο 2015 Light: A type of EM Radiation EM radiation:

More information

Optical Coherence: Recreation of the Experiment of Thompson and Wolf

Optical Coherence: Recreation of the Experiment of Thompson and Wolf Optical Coherence: Recreation of the Experiment of Thompson and Wolf David Collins Senior project Department of Physics, California Polytechnic State University San Luis Obispo June 2010 Abstract The purpose

More information

Unit thickness. Unit area. σ = NΔX = ΔI / I 0

Unit thickness. Unit area. σ = NΔX = ΔI / I 0 Unit thickness I 0 ΔI I σ = ΔI I 0 NΔX = ΔI / I 0 NΔX Unit area Δx Average probability of reaction with atom for the incident photons at unit area with the thickness of Delta-X Atom number at unit area

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Manufacturing Metrology Team

Manufacturing Metrology Team The Team has a range of state-of-the-art equipment for the measurement of surface texture and form. We are happy to discuss potential measurement issues and collaborative research Manufacturing Metrology

More information

Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams

Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams Submitted to Physical Review Letters Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams Richard L. Sandberg, Ariel Paul, Daisy Raymondson, Steffen Hädrich, David M.

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Fastest high definition Raman imaging. Fastest Laser Raman Microscope RAMAN

Fastest high definition Raman imaging. Fastest Laser Raman Microscope RAMAN Fastest high definition Raman imaging Fastest Laser Raman Microscope RAMAN - 11 www.nanophoton.jp Observation A New Generation in Raman Observation RAMAN-11 developed by Nanophoton was newly created by

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Advancing EDS Analysis in the SEM Quantitative XRF. International Microscopy Congress, September 5 th, Outline

Advancing EDS Analysis in the SEM Quantitative XRF. International Microscopy Congress, September 5 th, Outline Advancing EDS Analysis in the SEM with in-situ Quantitative XRF Brian J. Cross (1) & Kenny C. Witherspoon (2) 1) CrossRoads Scientific, El Granada, CA 94018, USA 2) ixrf Systems, Inc., Houston, TX 77059,

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by Supporting online material Materials and Methods Single-walled carbon nanotube (SWNT) devices are fabricated using standard photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

The Hong Kong University of Science and Technology Final Year Project presentation 2007

The Hong Kong University of Science and Technology Final Year Project presentation 2007 The Hong Kong University of Science and Technology Final Year Project presentation 2007 Project supervisor: Dr. Andrew Poon Department of Electronic and Computer Engineering Wong Ka Ki Chris, ee_wkkaf,

More information

Outline. Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements

Outline. Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements Outline Motivation Experimental Set-Up Theory behind the set-up Results Acknowledgements Motivation Attosecond pulses could be used to study time-dependence of atomic dynamics. Greater control of pulse

More information

Lenses. A lens is any glass, plastic or transparent refractive medium with two opposite faces, and at least one of the faces must be curved.

Lenses. A lens is any glass, plastic or transparent refractive medium with two opposite faces, and at least one of the faces must be curved. PHYSICS NOTES ON A lens is any glass, plastic or transparent refractive medium with two opposite faces, and at least one of the faces must be curved. Types of There are two types of basic lenses. (1.)

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Instructional Resources/Materials: Light vocabulary cards printed (class set) Enough for each student (See card sort below)

Instructional Resources/Materials: Light vocabulary cards printed (class set) Enough for each student (See card sort below) Grade Level/Course: Grade 7 Life Science Lesson/Unit Plan Name: Light Card Sort Rationale/Lesson Abstract: Light vocabulary building, students identify and share vocabulary meaning. Timeframe: 10 to 20

More information

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy

microscopy A great online resource Molecular Expressions, a Microscope Primer Partha Roy Fundamentals of optical microscopy A great online resource Molecular Expressions, a Microscope Primer http://micro.magnet.fsu.edu/primer/index.html Partha Roy 1 Why microscopy Topics Functions of a microscope

More information

Science 8 Unit 2 Pack:

Science 8 Unit 2 Pack: Science 8 Unit 2 Pack: Name Page 0 Section 4.1 : The Properties of Waves Pages By the end of section 4.1 you should be able to understand the following: Waves are disturbances that transmit energy from

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Lenses. Images. Difference between Real and Virtual Images

Lenses. Images. Difference between Real and Virtual Images Linear Magnification (m) This is the factor by which the size of the object has been magnified by the lens in a direction which is perpendicular to the axis of the lens. Linear magnification can be calculated

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information