membrane sample EUV characterization

Size: px
Start display at page:

Download "membrane sample EUV characterization"

Transcription

1 membrane sample EUV characterization Christian Laubis, PTB

2 Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing Wrap-up 2 Pellicle-TWG 21-Feb-2016

3 PTB - the National Metrology Institute About PTB: PTB the national metrology institute providing scientific and technical services What are PTB's capabilities? PTB measures with the highest accuracy and reliability metrology as the core competence 3 Pellicle-TWG 21-Feb-2016

4 Storage Rings in Berlin-Adlershof BESSY II 4 Pellicle-TWG 21-Feb-2016

5 BESSY I, II, MLS : BESSY I BESSY II: circumference 250 m electron energy 1.7 GeV since 1999: BESSY II since 2008: MLS PTB: 10 beamline branches from 400 nm (3 ev) to 0.02 nm (60 kev) UV EUV X ray Metrology Light Source MLS circumference 48 m electron energy MeV 8 beamlines from 8 mm to 4 nm (300 ev) THz UV EUV 5 Pellicle-TWG 21-Feb-2016

6 Experimental stations at the MLS VUV irradiation EUV Undulator 1a IR radiation 1b dfl deflected tddirect beam 1c Compton backscatter 1d VUV/EUV monochrom. bending magnet 2a calculable radiation 2b UV/VUV monochrom. (source calibration) 3 EUV radiometry 4 VUV radiometry 5 THz beamline 6 IR beamline 7 diagnostics front end 6 Pellicle-TWG 21-Feb-2016

7 PTB s EUV Reflectometer 7 Pellicle-TWG 21-Feb-2016

8 Optics for EUV Sources 5 sr collector, 670 mm outer diameter design coating mounted for measurements at PTB 8 Pellicle-TWG 21-Feb-2016

9 EUV-Ellipso-Scatterometer Detector azimuthal polar l flip X Y Z rot. X rot. Y rot ZZ rot. SSample l 100 mm 100 mm 25 mm sample size: weight: 0.5 µm 0.5 µm 0 5 µm x 190 x 70 up to 5 kg no lubricants used ((no organic g contaminants)) 9 Pellicle-TWG 21-Feb-2016

10 Soft X-ray radiometry beamline at BESSY II wavelength range 1 nm to 25 nm EUV ellipso scatterometer 10 Pellicle-TWG 21-Feb-2016

11 at-wavelength reflectometry 11 Pellicle-TWG 21-Feb-2016

12 EUV reflectance reflectance of reference membranes => clear thickness oscillations => minor differences between fields 12 Pellicle-TWG 21-Feb-2016

13 EUV transmittance transmittance of reference membranes => rather SiN instead of Si 3 N 4 transmittance broad spectral range grey curves: CXRO data solid line: SiN dashed line: Si 3 N 4 CXRO data shifted by nm to account for chemical shift 13 Pellicle-TWG 21-Feb-2016

14 at-wavelength scatter measurements scatter in reflection geometry 14 Pellicle-TWG 21-Feb-2016

15 EUV Scatterometry geometrical properties: structure width structure height edge angles edge profiles.. test pattern: Scheme of scatterometry measurements semi dense bright lines CD 180 nm, duty cycle 1:3 15 Pellicle-TWG 21-Feb-2016

16 EUV Scatterometry Diffraction of semi dense 180 nm bright lines at 1:3 duty cycle. fix angle of incidence, 6 3 wavelengths: 13.65, 13.92, nm 16 Pellicle-TWG 21-Feb-2016

17 Comparison: Scatterometry vs. AFM CD blue: scatterometry, red: AFM offset scatterometry: 2.7(18) nm 17 Pellicle-TWG 21-Feb-2016

18 PSD from scatter measurements 4000 s 200 s 0.2 s 18 Pellicle-TWG 21-Feb-2016

19 Membranes: EUV scatter in reflectance scatter in reflectance Scale is log 10 of CCD counts /100 s the reflected beam indicates severe distortions of the membrane for fields 2 and 4 19 Pellicle-TWG 21-Feb-2016

20 at-wavelength scatter measurements scatter in transmission geometry 20 Pellicle-TWG 21-Feb-2016

21 EUV scatter in transmission geometry 21 Pellicle-TWG 21-Feb-2016

22 Membranes: EUV scatter in transmission membrane broken scatter in transmission Scale is log 10 of CCD counts /100 s 22 Pellicle-TWG 21-Feb-2016

23 SAXS 23 Pellicle-TWG 21-Feb-2016

24 X-ray Beamline energy range: 1.75 kev to 10 kev (0.7 nm to 0.1 nm) 24 Pellicle-TWG 21-Feb-2016

25 SAXS / GISAXS set-up at X-ray beamline HZB SAXS set-up: length about 3 m weight about 3 t Sample detector distance: 1.4 m to 4.3 m 25 Pellicle-TWG 21-Feb-2016

26 X-ray detector PILATUS Pilatus 1M Vacuum version, 320 µm Si thickness 981 x 1043 pixels, pixel size 172 x 172 µm², area 169 x 179 mm² 26 Pellicle-TWG 21-Feb-2016

27 SAXS measurement geometry Intense monochromatic radiation, photon energy E Sample 2D detector Radial integration ti Scattered intensity it 4 as function of momentum transfer q = 4 hc E sin 27 Pellicle-TWG 21-Feb-2016

28 SAXS: position sensitivity the X ray beam cross section is 0.5 x 0.5 mm² data in center of membrane data 0.6 mm off center 28 Pellicle-TWG 21-Feb-2016

29 SAXS radial integral scheme of the reciprocal grid: unit vectors indicated in red length: a pitch pitch adapted to measured peaks: 28.2 nm indicated dare 7 vectors seen in the scatter image <1,0>; <1,1>; <2,0>; <2,1>; <3,0>; <2,2>; <3,1> note that the unit vectors are not orthogonal here: length^2 = h^2 + k^2 + hk 29 Pellicle-TWG 21-Feb-2016

30 Lifetime testingti 30 Pellicle-TWG 21-Feb-2016

31 Irradiation beamline setup The irradiation beamline is designed to capture and focus the available radiation from a bending magnet of BESSY II 31 Pellicle-TWG 21-Feb-2016

32 Irradiation beamline: Spectral distribution blue dashes: spectrum after mirror red line: with additional Si filter integral power : W (no filter) nj / pulse 0.15 W (Si Filter) 0.4 nj / pulse 32 Pellicle-TWG 21-Feb-2016

33 Irradiation testing Chamber with gas supply system and load lock distance "161" distance "334" Power density for different chamberpositions. Two scalings for best depiction of 'Hot ' and 'Cold' spot. 33 Pellicle-TWG 21-Feb-2016

34 wrap-up PTB offers EUV scatterometry measurements in transmission and reflection geometry on membrane samples and surfaces Structures as well as random rough surfaces can be investigated SAXS measurements are available at PTB PTB operates an EUV lifetime testing facility 34 Pellicle-TWG 21-Feb-2016

35 Thank you! Physikalisch-Technische Bundesanstalt Braunschweig and Berlin Abbestraße Berlin Christian Laubis Telefon: tb

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information

Report on the CCPR Pilot Comparison. Spectral Responsivity 10 nm to 20 nm

Report on the CCPR Pilot Comparison. Spectral Responsivity 10 nm to 20 nm Page 1 of 30 Report on the CCPR Pilot Comparison Spectral Responsivity 10 nm to 20 nm reported by Frank Scholze Physikalisch-Technische Bundesanstalt Berlin Germany October 2009 Page 2 of 30 Page 3 of

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

OPAC 202 Optical Design and Instrumentation. Topic 3 Review Of Geometrical and Wave Optics. Department of

OPAC 202 Optical Design and Instrumentation. Topic 3 Review Of Geometrical and Wave Optics. Department of OPAC 202 Optical Design and Instrumentation Topic 3 Review Of Geometrical and Wave Optics Department of http://www.gantep.edu.tr/~bingul/opac202 Optical & Acustical Engineering Gaziantep University Feb

More information

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications TECHNICAL REPORT Performance of the SASE monochromator equipped with a provisional short grating. Variable line spacing grating specifications N. Gerasimova for the X-Ray Optics and Beam Transport group

More information

High Performance Thin Film Optical Coatings Technical Reference Document 09/13. Coatings Capabilities. Heat Control - Hot Mirror Filters

High Performance Thin Film Optical Coatings Technical Reference Document 09/13. Coatings Capabilities. Heat Control - Hot Mirror Filters Heat Control - Hot Mirror Filters A hot mirror is in essence a thin film coating applied to substrates in an effort to reflect infra-red radiation either as a means to harness the reflected wavelengths

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

Large-Area CdTe Photon-Counting Pixel Detectors

Large-Area CdTe Photon-Counting Pixel Detectors Large-Area CdTe Photon-Counting Pixel Detectors Tilman Donath, Application Scientist 22.6.2015, DIR2015, Ghent DECTRIS Ltd. 5400 Baden Switzerland www.dectris.com Agenda 1. Introduction Hybrid Photon Counting

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

IN-LAB PELLICLE METROLOGY CHALLENGES

IN-LAB PELLICLE METROLOGY CHALLENGES IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University 04.10.2015, Maastricht Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity

More information

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL FERMILAB-CONF-16-641-AD-E ACCEPTED FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL A.H. Lumpkin 1 and A.T. Macrander 2 1 Fermi National Accelerator Laboratory, Batavia, IL 60510

More information

VUV-FEL User workshop, August 23-24, 2004

VUV-FEL User workshop, August 23-24, 2004 Layout of the user facility Kai Tiedtke Kai Tiedtke, HASYLAB@ VUV-FEL User workshop, August 23-24, 2004 Kai.Tiedtke@desy.de Kai Tiedtke, HASYLAB@ Outline Photon beam transport Layout of the experimental

More information

pcvd diamond beam position monitors for PETRA III

pcvd diamond beam position monitors for PETRA III pcvd diamond beam position monitors for PETRA III Eckhard Wörner Diamond Materials GmbH Tullastraße 72, 79108 Freiburg, Germany CARAT workshop 13-15.12.09 1/39 Outline Some news about Diamond Materials

More information

The Physikalisch-Technische Bundesanstalt,

The Physikalisch-Technische Bundesanstalt, Physikalisch-Technische Bundesanstalt the National Metrology Institute of Germany The Physikalisch-Technische Bundesanstalt, Germany snational metrologyinstitute, isa scientific and technical higher federal

More information

LPP collector mirrors coating, metrology and refurbishment

LPP collector mirrors coating, metrology and refurbishment LPP collector mirrors coating, metrology and refurbishment 2013 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler optix fab GmbH Christian Laubis,

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4

Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4 Upgrade of the ultra-small-angle scattering (USAXS) beamline BW4 S.V. Roth, R. Döhrmann, M. Dommach, I. Kröger, T. Schubert, R. Gehrke Definition of the upgrade The wiggler beamline BW4 is dedicated to

More information

A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl

A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl BACKGROUND Multipass optical systems (MOS) are broadly used in absorption, Raman, fluorescence,

More information

Optics for next generation light sources

Optics for next generation light sources Optics for next generation light sources Anton Barty Centre for Free Electron Laser Science Hamburg, Germany Key issues Optical specifications Metrology (mirror surfaces) Metrology (wavefront, focal spot)

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Sensor Fusion Enables Comprehensive Analysis of Laser Processing in Additive Manufacturing

Sensor Fusion Enables Comprehensive Analysis of Laser Processing in Additive Manufacturing MKS Instruments 1 of 6 Sensor Fusion Enables Comprehensive Analysis of Laser Processing in Additive Manufacturing By Kevin Kirkham, Senior Manager, Product Development, Ophir Sensor: "A device that detects

More information

Infrared Single Shot Diagnostics for the Longitudinal. Profile of the Electron Bunches at FLASH. Disputation

Infrared Single Shot Diagnostics for the Longitudinal. Profile of the Electron Bunches at FLASH. Disputation Infrared Single Shot Diagnostics for the Longitudinal Profile of the Electron Bunches at FLASH Disputation Hossein Delsim-Hashemi Tuesday 22 July 2008 7/23/2008 2/ 35 Introduction m eb c 2 3 2 γ ω = +

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. Modal simulation and frequency response of a high- frequency (75- khz) MEMS. a, Modal frequency of the device was simulated using Coventorware and shows

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

Rayleigh scattering in X-ray polarimetry

Rayleigh scattering in X-ray polarimetry Rayleigh scattering in X-ray polarimetry Andrey Bondarev Saint-Petersburg State University, b.ndarev@gmail.com In this report we present experimental investigations of Rayleigh scattering for high energy

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

Introduction to X-ray Detectors for Synchrotron Radiation Applications

Introduction to X-ray Detectors for Synchrotron Radiation Applications Introduction to X-ray Detectors for Synchrotron Radiation Applications Pablo Fajardo Instrumentation Services and Development Division ESRF, Grenoble EIROforum School on Instrumentation (ESI 2011) Outline

More information

THz Pump Beam for LCLS. Henrik Loos. LCLS Hard X-Ray Upgrade Workshop July 29-31, 2009

THz Pump Beam for LCLS. Henrik Loos. LCLS Hard X-Ray Upgrade Workshop July 29-31, 2009 Beam for LCLS Henrik Loos Workshop July 29-31, 29 1 1 Henrik Loos Overview Coherent Radiation Sources Timing THz Source Performance 2 2 Henrik Loos LCLS Layout 6 MeV 135 MeV 25 MeV 4.3 GeV 13.6 GeV σ z.83

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Keysight Technologies Optical Power Meter Head Special Calibrations. Brochure

Keysight Technologies Optical Power Meter Head Special Calibrations. Brochure Keysight Technologies Optical Power Meter Head Special Calibrations Brochure Introduction The test and measurement equipment you select and maintain in your production and qualification setups is one of

More information

Short-Pulse X-ray at the Advanced Photon Source Overview

Short-Pulse X-ray at the Advanced Photon Source Overview Short-Pulse X-ray at the Advanced Photon Source Overview Vadim Sajaev and Louis Emery Accelerator Operations and Physics Group Accelerator Systems Division Mini-workshop on Methods of Data Analysis in

More information

2007 HORIBA, Ltd. All rights reserved HORIBA, Ltd. All rights reserved.

2007 HORIBA, Ltd. All rights reserved HORIBA, Ltd. All rights reserved. New Tunable Blaze Diffraction Gratings For EUV Applications Xxxx Bruno TOUZET 9 October 2008 Jobin Yvon overview! Founded in 1819! JobinYvon = $ 100M 600 employees, 5 production sites Longjumeau, Lille,

More information

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser P. Castro for the TTF-FEL team 100 nm 1 Å FEL radiation TESLA Test Facility at DESY

More information

HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION

HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION K.V. Zolotarev *, A.M. Batrakov, S.V. Khruschev, G.N. Kulipanov, V.H. Lev, N.A. Mezentsev, E.G. Miginsky, V.A. Shkaruba,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

FLASH II. FLASH II: a second undulator line and future test bed for FEL development.

FLASH II. FLASH II: a second undulator line and future test bed for FEL development. FLASH II FLASH II: a second undulator line and future test bed for FEL development Bart.Faatz@desy.de Outline Proposal Background Parameters Layout Chalenges Timeline Cost estimate Personnel requirements

More information

Experience of synchrotron sources and optics modelling at Diamond Light Source

Experience of synchrotron sources and optics modelling at Diamond Light Source Experience of synchrotron sources and optics modelling at Diamond Light Source Lucia Alianelli Outline Microfocus MX beamline optics design (Principal Beamline Scientist G. Evans) Surface and interface

More information

Bioimaging of cells and tissues using accelerator-based sources

Bioimaging of cells and tissues using accelerator-based sources Analytical and Bioanalytical Chemistry Electronic Supplementary Material Bioimaging of cells and tissues using accelerator-based sources Cyril Petibois, Mariangela Cestelli Guidi Main features of Free

More information

Sources & Beam Line Optics

Sources & Beam Line Optics SSRL Scattering Workshop May 16, 2006 Sources & Beam Line Optics Thomas Rabedeau SSRL Beam Line Development Objective/Scope Objective - develop a better understanding of the capabilities and limitations

More information

Activities on Beam Orbit Stabilization at BESSY II

Activities on Beam Orbit Stabilization at BESSY II Activities on Beam Orbit Stabilization at BESSY II J. Feikes, K. Holldack, P. Kuske, R. Müller BESSY Berlin, Germany IWBS`02 December 2002 Spring 8 BESSY: Synchrotron Radiation User Facility BESSY II:

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting Breakout Session 3: Mirror Update 2007/4/16-17 1/22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting stefan@slac.stanford.edu Breakout Session 3: Mirror Update Overall Offset Mirror System

More information

Circumference 187 m (bending radius = 8.66 m)

Circumference 187 m (bending radius = 8.66 m) 4. Specifications of the Accelerators Table 1. General parameters of the PF storage ring. Energy 2.5 GeV (max 3.0 GeV) Initial stored current multi-bunch 450 ma (max 500 ma at 2.5GeV) single bunch 70 ma

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

190 nm (6.5 ev) Laser-ARPES. Laser ARPES using a Tunable ps UV Source

190 nm (6.5 ev) Laser-ARPES. Laser ARPES using a Tunable ps UV Source 190 nm (6. ev) Laser-ARPES Laser ARPES using a Tunable ps UV Source 1 190 nm (6. ev) UV Source Laser ARPES/ARUPS with a High-Power UV Source Tunable deep-uv pulses from 190... 21 nm (6.....77 ev) are available

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

CU-LASP Test Facilities! and Instrument Calibration Capabilities"

CU-LASP Test Facilities! and Instrument Calibration Capabilities CU-LASP Test Facilities! and Instrument Calibration Capabilities" Ginger Drake Calibration Group Manager 303-492-5899 Ginger.Drake@lasp.colorado.edu Thermal Vacuum Test Facilities" 2 Multiple Optical Beam

More information

RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS

RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS S-94,316 PATENTS-US-A96698 BEAM POSITION MONITOR RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS CONTRACTUAL ORIGIN OF THE INVENTION The United States Government has rights in this invention pursuant

More information

Today s Outline - January 25, C. Segre (IIT) PHYS Spring 2018 January 25, / 26

Today s Outline - January 25, C. Segre (IIT) PHYS Spring 2018 January 25, / 26 Today s Outline - January 25, 2018 C. Segre (IIT) PHYS 570 - Spring 2018 January 25, 2018 1 / 26 Today s Outline - January 25, 2018 HW #2 C. Segre (IIT) PHYS 570 - Spring 2018 January 25, 2018 1 / 26 Today

More information

3 General layout of the XFEL Facility

3 General layout of the XFEL Facility 3 General layout of the XFEL Facility 3.1 Introduction The present chapter provides an overview of the whole European X-Ray Free-Electron Laser (XFEL) Facility layout, enumerating its main components and

More information

First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL

First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL Ciatto G., Moreno T., Aubert N., Feret P., Fontaine P. Synchrotron SOLEIL, L'Orme des Merisiers, Saint-Aubin,

More information

Historical. McPherson 15 Mount

Historical. McPherson 15 Mount McPherson 15 Mount Normal incidence designs include the McPherson 15 (classical 1.0 meter focal length) and modern NIM units. The latter features smaller included angles, longer focal lengths (e.g. 3,

More information

Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT

Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT Gas scintillation Glass GEM detector for high-resolution X-ray imaging and CT Takeshi Fujiwara 1, Yuki Mitsuya 2, Hiroyuki Takahashi 2, and Hiroyuki Toyokawa 2 1 National Institute of Advanced Industrial

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

Nanometers and Picometers: Keys to Success with 5 Terabit/in 2 Patterned Media

Nanometers and Picometers: Keys to Success with 5 Terabit/in 2 Patterned Media Nanometers and Picometers: Keys to Success with 5 Terabit/in 2 Patterned Media Donald A. Chernoff Advanced Surface Microscopy Inc. Indianapolis, IN USA www.asmicro.com 2/10/2009 IDEMA Technical Symposium

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS Diamond X-ray Rocking Curve and Topograph Measurements at CHESS G. Yang 1, R.T. Jones 2, F. Klein 3 1 Department of Physics and Astronomy, University of Glasgow, Glasgow, UK G12 8QQ. 2 University of Connecticut

More information

Laser Speckle Reducer LSR-3000 Series

Laser Speckle Reducer LSR-3000 Series Datasheet: LSR-3000 Series Update: 06.08.2012 Copyright 2012 Optotune Laser Speckle Reducer LSR-3000 Series Speckle noise from a laser-based system is reduced by dynamically diffusing the laser beam. A

More information

Current Status of NewSUBARU

Current Status of NewSUBARU Current Status of NewSUBARU NewSUBARU Storage Ring Storage Ring Parameters The machine parameters of the 1.5 GeV storage ring are listed in Table I. Although the machine condition remains the same, some

More information

Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics

Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics J. Michael Klopf Jefferson Lab - Free Electron Laser Division Workshop on Future Light Sources SLAC

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH M. Castellano, E. Chiadroni, A. Cianchi, K. Honkavaara, G. Kube DESY FLASH Seminar Hamburg, 05/09/2006 Work

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS

LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS A. Aryshev On behalf of QB group and THz collaboration 14 Outline THz project overview LUCX activity LUCX Projects Overview THz program LUCX Laser system LUCX

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Far field intensity distributions of an OMEGA laser beam were measured with

Far field intensity distributions of an OMEGA laser beam were measured with Experimental Investigation of the Far Field on OMEGA with an Annular Apertured Near Field Uyen Tran Advisor: Sean P. Regan Laboratory for Laser Energetics Summer High School Research Program 200 1 Abstract

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Beam Infrared Detection with Resolution in Time

Beam Infrared Detection with Resolution in Time Excellence in Detectors and Instrumentation Technologies Beam Infrared Detection with Resolution in Time Alessandro Drago INFN - Laboratori Nazionali di Frascati, Italy October 20-29, 2015 Introduction

More information

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics Rashi Garg 1, Nadir Faradzhev 2, Shannon Hill 3, Lee Richter 3, P. S. Shaw 3, R. Vest

More information

Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing

Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing 19 th World Conference on Non-Destructive Testing 2016 Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing Yury SHASHEV 1, Andreas KUPSCH 1, Axel LANGE 1, Ralf BRITZKE

More information

Multilayer Collector Optics for Water Window Microscopy

Multilayer Collector Optics for Water Window Microscopy Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian

More information

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools Rainer Lebert 1, Christoph Phiesel 1, Thomas Mißalla 1, Christian Piel 1, Alexander

More information

Irradiance Calibration Using a Cryogenic Radiometer and a Broadband Light Source

Irradiance Calibration Using a Cryogenic Radiometer and a Broadband Light Source Irradiance Calibration Using a Cryogenic Radiometer and a Broadband Light Source Jeff Morrill (1), Donald McMullin (2), Linton Floyd (3), Steven Lorentz (4), and Clarence Korendyke (1) (1) Naval Research

More information

ACEEE Int. J. on Electrical and Power Engineering, Vol. 03, No. 02, May 2012

ACEEE Int. J. on Electrical and Power Engineering, Vol. 03, No. 02, May 2012 Effect of Glittering and Reflective Objects of Different Colors to the Output Voltage-Distance Characteristics of Sharp GP2D120 IR M.R. Yaacob 1, N.S.N. Anwar 1 and A.M. Kassim 1 1 Faculty of Electrical

More information

Hartmann wavefront sensing Beamline alignment

Hartmann wavefront sensing Beamline alignment Hartmann wavefront sensing Beamline alignment Guillaume Dovillaire SOS Trieste October 4th, 2016 G. Dovillaire M COM PPT 2016.01 GD 1 SOS Trieste October 4th, 2016 G. Dovillaire M COM PPT 2016.01 GD 2

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits...

Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits... Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits... 10 Non-magnetic Options for Slits... 12 Slits with Passive

More information

GLOSSARY OF TERMS. Terminology Used for Ultraviolet (UV) Curing Process Design and Measurement

GLOSSARY OF TERMS. Terminology Used for Ultraviolet (UV) Curing Process Design and Measurement GLOSSARY OF TERMS Terminology Used for Ultraviolet (UV) Curing Process Design and Measurement This glossary of terms has been assembled in order to provide users, formulators, suppliers and researchers

More information

Laue diffraction software for reciprocal space data visualisation and analysis

Laue diffraction software for reciprocal space data visualisation and analysis Laue diffraction software for reciprocal space data visualisation and analysis Dr Gail N. Iles Neutrons 2.0: International Workshop Software for Neutron Scattering Berlin, Germany Tuesday 26th March 2013

More information

FLASH at DESY. FLASH. Free-Electron Laser in Hamburg. The first soft X-ray FEL operating two undulator beamlines simultaneously

FLASH at DESY. FLASH. Free-Electron Laser in Hamburg. The first soft X-ray FEL operating two undulator beamlines simultaneously FLASH at DESY The first soft X-ray FEL operating two undulator beamlines simultaneously Katja Honkavaara, DESY for the FLASH team FEL Conference 2014, Basel 25-29 August, 2014 First Lasing FLASH2 > First

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Make Machine Vision Lighting Work for You

Make Machine Vision Lighting Work for You Make Machine Vision Lighting Work for You Lighting is our passion Flexibility is our model Daryl Martin Technical Sales and Product Specialist Advanced illumination 734-213-1312 dmartin@advill.com Who

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

A Metalens with Near-Unity Numerical Aperture

A Metalens with Near-Unity Numerical Aperture Supporting Information for: A Metalens with Near-Unity Numerical Aperture Ramón Paniagua-Domínguez *, Ye Feng Yu 1, Egor Khaidarov 1, 2, Sumin Choi 1, Victor Leong 1, Reuben M. Bakker 1, Xinan Liang 1,

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information

X-rays in medical diagnostics

X-rays in medical diagnostics X-rays in medical diagnostics S.Dolanski Babić 2017/18. History W.C.Röntgen (1845-1923) discovered a new type of radiation Nature, Jan. 23. 1896.; Science, Feb.14. 1896. X- rays: Induced the ionization

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy For the semiconductor industry A tutorial Titel Vorname Nachname Titel Jobtitle, Bereich/Abteilung Overview Scanning Electron microscopy Scanning Electron Microscopy (SEM)

More information

Instruction manual and data sheet ipca h

Instruction manual and data sheet ipca h 1/15 instruction manual ipca-21-05-1000-800-h Instruction manual and data sheet ipca-21-05-1000-800-h Broad area interdigital photoconductive THz antenna with microlens array and hyperhemispherical silicon

More information

The CoSAXS Beamline at MAX IV: A Small Angle X-Ray Scattering Beamline to Study Structure and Dynamics

The CoSAXS Beamline at MAX IV: A Small Angle X-Ray Scattering Beamline to Study Structure and Dynamics The CoSAXS Beamline at MAX IV: A Small Angle X-Ray Scattering Beamline to Study Structure and Dynamics SAS Sample Environment workshop, September 10-11 th, 2015- Lund tomas.plivelic@maxlab.lu.se Aims Take

More information

Vacuum Ultra Violet Monochromator

Vacuum Ultra Violet Monochromator Feature Article JY Division I nformation Vacuum Ultra Violet Monochromator Erick Jourdain Abstract Taking the advantage of Jobin Yvon(JY) leading position in the design and realisation of diffraction grating

More information