Optical Projection Printing and Modeling

Size: px
Start display at page:

Download "Optical Projection Printing and Modeling"

Transcription

1 Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization (15-39) Includes Hands On simulation using LAVA, SIMPL_display Technology Advances (40-52) Resolution Enhancement, Immersion, Double Patterning, EUV, Imprint Suggested reading and sources: Griffin: Plummer, Deal and Chapter 5 Sheats and Smith: , , , , 121 Wong RET: 31-45, 55-58, 83; Chris Mack Simulation Examples 1

2 ASML 5500/ nm Wavelength Tool Fly s Eye Sigma Aperture Mask Port Condenser Lens Light path Hexagonal Light Pipe Output Objective Lens To Wafer 2

3 EE 143 Optical Lithography Lecture, A.R. Neureuther, Sp 2006 Projection Printer Examples Wafer Mask Stepper Mask Scanner Wafer Sheats and Smith 3

4 Optical Projection Printing Parameters #0 Key Parameters: λ, NA, σ Wavelength λ = 248 nm) Numerical Aperture NA = sin (θ) = 0.5 Partial Coherence Factor σ = (NAc/NAo) = 0.3 4

5 Parameters for Microlab Projection Printers Working Resolution Tool λ nm NA σ k 1 θ LEN deg θ ILL deg k 1 λ/na nm λ/(4na) nm TFR nm Μ Canongh GCA-g GCA-i ASML- DUV Ann TFR = Total focus range = 2 x Rayleigh Depth of Focus = 2DOF λ M is the demagnification factor DOF = k L LINEWIDTH = k 1 NA λ ( ) 2 2 NA 5 5

6 Optical System Point Spread Function Mask Lens Wafer Image of a pin hole (Diffraction limited) Relationship for electric fields The small pinhole due to its size diffracts uniformly over all angles. Pin hole This diffraction uniformly fills the lens pupil. The lens re-phases the remaining emerging rays so that they re-converge at the wafer with the same relative phases and uniform magnitude. The electric field at the waver is thus the inverse Fourier transform of a disk = Airy Function. The intensity is the time average of the square of the electric field = (Airy function) 2 The pattern shape is independent of the shape of the pin hole with diameter 1.22λ/NA. The peak E is proportional to pin hole area the peak I is proportional to Area 2 or (dimension) 4. 6

7 Resolution in Projection Printing f = focal distance d = lens diameter Point spread function Null position F# = f/d f f 1.22λ = 0.61λ = d d 2 λ NA Minimum separation of a star to be visible. PDG Fig. Ch 5 7

8 Resolution ~ Transverse Variation Larger angles give higher resolution φ #1 Resolution = P/2 = λ/(2 sinφ) = 0.5(λ/NA)) λ = 248 nm λ TRANS = λ/sinφ = 3.22λ = 800nm Assumes one wave is onaxis and the other off-axis The most useful rays in forming an image are those with the same pitch as the pattern Wave graphic by Ongi Englander and Kien Lam 8

9 Depth of Focus: Phase change on vertical axis Plane of Best Focus 4.75λ 5.0λ Plane of Rayleigh l/4 Defocus Wave graphic by Ongi Englander and Kien Lam Observe phase along a vertical line 9

10 EE 143 Optical Lithography Lecture, A.R. Neureuther, Sp 2006 Depth of Focus in Projection Printing Ray Angle View #2 Depth of Focus = λ/(2na2) Result must be modified for a) High NA, and b) Two waves at arbitrary angles. PDG Fig. Ch 5 10

11 Normalized Parameters For any wavelength λ and numerical aperture NA. λ L LINEWIDTH = k1 DOF = k NA λ = 365, 248,193, 157, 13.4 nm NA = 0.167, 0.38, 0.5, 0.63, 0.7, 0.75, λ ( ) 2 2 NA Instead of recalculation for every new combination of λ and NA a universal catalog of image behavior can be utilized if we first determine the k 1 and k 2 factors in the actual system for the linewidth and defocus and look up results in a data based based on λ = 0.5µm and NA =0.5. λ 0.5µ m L LINEWIDTH = k1 = k1 = k1µ m NA 0.5 λ 0.5µ m DOF = k2 = k2 = k2µ m NA ( ) ( ) 11

12 Optical Proximity Effect - lateral influence function E-field Point Spread Function for Coherent Imaging: illuminator Condenser lens mask Finite size of projection lens (i.e. low-pass filter) images point on mask as Airy pattern on wafer. [Airy = IFT (disk) = f(l/na)] Projection lens wafer λ/na 12

13 Various Types of Image Distortion Proximity effect with neighbors Nonlinearity with size End shortening Corner rounding Wong RET 13

14 Optical Proximity Correction (OPC) Called Processl Proximity Correction (PPC) when compensations for other process effects are included. Wong RET 14

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich

LECTURE 13 DIFFRACTION. Instructor: Kazumi Tolich LECTURE 13 DIFFRACTION Instructor: Kazumi Tolich Lecture 13 2 Reading chapter 33-4 & 33-6 to 33-7 Single slit diffraction Two slit interference-diffraction Fraunhofer and Fresnel diffraction Diffraction

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

Lens Design I Seminar 5

Lens Design I Seminar 5 Y. Sekman, X. Lu, H. Gross Friedrich Schiller University Jena Institute of Applied Physics Albert-Einstein-Str 15 07745 Jena Lens Design I Seminar 5 Exercise 5-1: PSF scaling (Homework) To check the Airy

More information

Line End Shortening, part 2

Line End Shortening, part 2 Tutor31.doc: Version 8/17/00 Line End Shortening, part 2 T h e L i t h o g r a p h y E x p e r t (Fall 2000) Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As discussed in the

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The Modulation Transfer Function (MTF) is a useful tool in system evaluation. t describes if, and how well, different spatial frequencies are transferred from object to image.

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Lecture 8. Lecture 8. r 1

Lecture 8. Lecture 8. r 1 Lecture 8 Achromat Design Design starts with desired Next choose your glass materials, i.e. Find P D P D, then get f D P D K K Choose radii (still some freedom left in choice of radii for minimization

More information

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type)

Properties of optical instruments. Visual optical systems part 2: focal visual instruments (microscope type) Properties of optical instruments Visual optical systems part 2: focal visual instruments (microscope type) Examples of focal visual instruments magnifying glass Eyepieces Measuring microscopes from the

More information

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc.

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc. Chapter 34 The Wave Nature of Light; Interference 34-7 Luminous Intensity The intensity of light as perceived depends not only on the actual intensity but also on the sensitivity of the eye at different

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

Test procedures Page: 1 of 5

Test procedures Page: 1 of 5 Test procedures Page: 1 of 5 1 Scope This part of document establishes uniform requirements for measuring the numerical aperture of optical fibre, thereby assisting in the inspection of fibres and cables

More information

Fiber Optic Communications

Fiber Optic Communications Fiber Optic Communications ( Chapter 2: Optics Review ) presented by Prof. Kwang-Chun Ho 1 Section 2.4: Numerical Aperture Consider an optical receiver: where the diameter of photodetector surface area

More information

Optical System Design

Optical System Design Phys 531 Lecture 12 14 October 2004 Optical System Design Last time: Surveyed examples of optical systems Today, discuss system design Lens design = course of its own (not taught by me!) Try to give some

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Computer Aided Design Several CAD tools use Ray Tracing (see

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Astronomical Observing Techniques Lecture 6: Op:cs

Astronomical Observing Techniques Lecture 6: Op:cs Astronomical Observing Techniques Lecture 6: Op:cs Christoph U. Keller keller@strw.leidenuniv.nl Outline 1. Geometrical Op

More information

A Pin-Hole Projection System: Status

A Pin-Hole Projection System: Status Spot-o-Matic A Pin-Hole Projection System: Status Wolfgang Lorenzon Work performed by: Michael Borysow Nate Barron SNAP Detector Design We need to test: Intra-pixel response Lateral Charge Diffusion Must

More information

Single, Double And N-Slit Diffraction. B.Tech I

Single, Double And N-Slit Diffraction. B.Tech I Single, Double And N-Slit Diffraction B.Tech I Diffraction by a Single Slit or Disk If light is a wave, it will diffract around a single slit or obstacle. Diffraction by a Single Slit or Disk The resulting

More information

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline

Lecture 4: Geometrical Optics 2. Optical Systems. Images and Pupils. Rays. Wavefronts. Aberrations. Outline Lecture 4: Geometrical Optics 2 Outline 1 Optical Systems 2 Images and Pupils 3 Rays 4 Wavefronts 5 Aberrations Christoph U. Keller, Leiden University, keller@strw.leidenuniv.nl Lecture 4: Geometrical

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture : Correction II 3--9 Herbert Gross Summer term www.iap.uni-jena.de Correction II Preliminary time schedule 6.. Introduction Introduction, Zemax interface, menues, file

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy

Point Spread Function. Confocal Laser Scanning Microscopy. Confocal Aperture. Optical aberrations. Alternative Scanning Microscopy Bi177 Lecture 5 Adding the Third Dimension Wide-field Imaging Point Spread Function Deconvolution Confocal Laser Scanning Microscopy Confocal Aperture Optical aberrations Alternative Scanning Microscopy

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Why is There a Black Dot when Defocus = 1λ?

Why is There a Black Dot when Defocus = 1λ? Why is There a Black Dot when Defocus = 1λ? W = W 020 = a 020 ρ 2 When a 020 = 1λ Sag of the wavefront at full aperture (ρ = 1) = 1λ Sag of the wavefront at ρ = 0.707 = 0.5λ Area of the pupil from ρ =

More information

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1

TSBB09 Image Sensors 2018-HT2. Image Formation Part 1 TSBB09 Image Sensors 2018-HT2 Image Formation Part 1 Basic physics Electromagnetic radiation consists of electromagnetic waves With energy That propagate through space The waves consist of transversal

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Be aware that there is no universal notation for the various quantities.

Be aware that there is no universal notation for the various quantities. Fourier Optics v2.4 Ray tracing is limited in its ability to describe optics because it ignores the wave properties of light. Diffraction is needed to explain image spatial resolution and contrast and

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

A New Hybrid Diffractive Photo-mask Technology

A New Hybrid Diffractive Photo-mask Technology University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) A New Hybrid Diffractive Photo-mask Technology 2005 Jin Won Sung University of Central Florida Find

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

FDTD Analysis of Readout Characteristics in a near-field MAMMOS recording system. Matthew Manfredonia Paul Nutter & David Wright

FDTD Analysis of Readout Characteristics in a near-field MAMMOS recording system. Matthew Manfredonia Paul Nutter & David Wright FDTD Analysis of Readout Characteristics in a near-field MAMMOS recording system Matthew Manfredonia Paul Nutter & David Wright Electronic & Information Storage Systems Research Group School of Computer

More information

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum eractive Power o a Surace The reractive power P is measured in diopters when the radius is expressed in meters. n and n are the reractive indices o the two media. EE-57: icrofabrication n n P n n Exposure

More information

Introduction to Light Microscopy. (Image: T. Wittman, Scripps)

Introduction to Light Microscopy. (Image: T. Wittman, Scripps) Introduction to Light Microscopy (Image: T. Wittman, Scripps) The Light Microscope Four centuries of history Vibrant current development One of the most widely used research tools A. Khodjakov et al. Major

More information

TA/TI survey. Phy Phy

TA/TI survey.   Phy Phy TA/TI survey https://webapps.pas.rochester.edu/secure/phpq/ Phy121 7 60 73 81 Phy123 1 6 11 18 Chapter 35 Diffraction and Polarization Double- Slit Experiment destructive interference Two sources of light

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014

MASSACHUSETTS INSTITUTE OF TECHNOLOGY. 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 2.71/2.710 Optics Spring 14 Practice Problems Posted May 11, 2014 1. (Pedrotti 13-21) A glass plate is sprayed with uniform opaque particles. When a distant point

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Modulation Transfer Function

Modulation Transfer Function Modulation Transfer Function The resolution and performance of an optical microscope can be characterized by a quantity known as the modulation transfer function (MTF), which is a measurement of the microscope's

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam Diffraction Interference with more than 2 beams 3, 4, 5 beams Large number of beams Diffraction gratings Equation Uses Diffraction by an aperture Huygen s principle again, Fresnel zones, Arago s spot Qualitative

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Lecture 15: Fraunhofer diffraction by a circular aperture

Lecture 15: Fraunhofer diffraction by a circular aperture Lecture 15: Fraunhofer diffraction by a circular aperture Lecture aims to explain: 1. Diffraction problem for a circular aperture 2. Diffraction pattern produced by a circular aperture, Airy rings 3. Importance

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Applied Optics. , Physics Department (Room #36-401) , ,

Applied Optics. , Physics Department (Room #36-401) , , Applied Optics Professor, Physics Department (Room #36-401) 2290-0923, 019-539-0923, shsong@hanyang.ac.kr Office Hours Mondays 15:00-16:30, Wednesdays 15:00-16:30 TA (Ph.D. student, Room #36-415) 2290-0921,

More information

Depth of Focus and the Alternating Phase Shift Mask

Depth of Focus and the Alternating Phase Shift Mask T h e L i t h o g r a h y E x e r t (November 4) Deth of Focus and the Alternating Phase Shift Mask Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas One of the biggest advantages of the use of

More information

Microscopy: Fundamental Principles and Practical Approaches

Microscopy: Fundamental Principles and Practical Approaches Microscopy: Fundamental Principles and Practical Approaches Simon Atkinson Online Resource: http://micro.magnet.fsu.edu/primer/index.html Book: Murphy, D.B. Fundamentals of Light Microscopy and Electronic

More information