Defect printability of thin absorber mask in EUV lithography with refined LER resist

Size: px
Start display at page:

Download "Defect printability of thin absorber mask in EUV lithography with refined LER resist"

Transcription

1 [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga EUVL Symposium (October 19 ~ 1, 9) 1

2 Outline [1] Introduction - Hurdle on Defect Printability Evaluation - Breakdown of Printed LER/LWR [] Experimental Method - How to improve LER/LWR of Printed Image - Experimental condition [3] Results [] Summary EUVL Symposium (October 19 ~ 1, 9)

3 Hurdle on Defect Printability Evaluation Table Mask Pattern Image and Resist Pattern Image (3nm HP) EUVL Symposium (October 19 ~ 1, 9) T. Kamo, et al., SPIE Advanced Lithography 9 It is difficult to measure critical defect size precisely because printed pattern's lineedge/width-roughness (LER/LWR) is larger than the CD tolerance of 3nm HP and beyond. 3

4 [1] Mask/Blanks Process Breakdown of Printed LER/LWR - LER/LWR of Absorber Pattern - Roughness of Multilayer Surface Systematic LER/LWR [] Contrast/NILS of Aerial Image - Exposure Tool / Condition (NA,, Flare, ) - Mask Structure (Binary, Att-PSM *, ) [3] Resist Process -Resist Material - Resist Stack (Under Layer, ) - Post Exposure Treatment Random LER/LWR is reduced by CD averaging method of multiple exposure shots. *) T. Kamo, et al., Effect of mask absorber thickness on printability in EUV lithography with high resolution resist, Proc. SPIE 7 () EUVL Symposium (October 19 ~ 1, 9)

5 How to Improve LER/LWR of Printed Image? Improvement of resist and mask process Resist: SSR3, Mask: Conv. (3 : ~.nm) Resist: SMR3, Mask: Conv. (3 : ~5.nm) Resist: SMR3, Mask: Improved (3 : ~.nm) 3nm L/S printed image Resist Improvement Mask Improvement CD averaging method of multiple exposure shots to extract systematic component from printed pattern with LWR by reducing random components Mask Pattern Printed Image CD Ave. Shot1 Shot Shot3 Shot Shot5 Shot Shot7 EUVL Symposium (October 19 ~ 1, 9) CD averaging 5

6 Experimental Condition Blanks/Masks Blanks structure : LR-TaBN(51nm) / CrN buffer(nm) / Si cap (11nm) / M.L. pairs (Mo/Si) / substrate Mask defect evaluation: Mask CD-SEM NGR (NGR) SFET Experiment Exposure condition: Resist : Resist CD evaluation: NA=.3 (central obscuration: 3%), sigma (inner/outer)=.3/.7, Incident angle=deg, Magnification=1/5 Selete Model Resist 3 (nm thickness) S93II (HITACHI High-Technologies) Lithography Simulation Simulator : Exposure Condition : Pattern : EM-Suite TM (Panoramic Technology Inc.) NA=.3(Central Obscuration : 3%), sigma (inner /outer)=.3/.7, Incident angle=deg, Magnification=1/5, =13.5nm, No lens aberration, No flare, Resist blur model (sigma=9nm) 3nm L/S with program isolated/edge defect (Parallel to EUV light projection) EUVL Symposium (October 19 ~ 1, 9)

7 Definition of Defect Size and Pattern Orientation Mask (Design) Mask (Measured) Wafer (Measured) Averaged CD a Min. a Mask SEM image is flipped to the same direction as wafer SEM image. Defect Size (Design) = a Square shape programmed mask defect for simulation and design CD at programmed defect EUV Light Defect Size = S (S: Area) EUVL Symposium (October 19 ~ 1, 9) 7

8 Gauge of LWR, Printed CD at Programmed Defect Raw data 1 plot: Ave. of 1pixes (1.1nm Length) LWR at No Programmed Defect 3 =5.nm 3 =.5nm LWR value depends on parameters of CD analysis. Printed CD at Programmed Defect Average of 1pixels (1.1nm length) is too large to measure accurate CD at programmed defect EUVL Symposium (October 19 ~ 1, 9)

9 Mask and Printed Image at No Programmed Defect Mask Pattern Printed Image CD Shot1 Shot Shot3 Shot Shot5 Shot Shot7 Ave. 5.5nm.nm 5.5nm.nm 5.nm.5nm.9nm 5.7nm 7.nm.nm 5.5nm.1nm 5.nm.nm.7nm.3nm EUVL Symposium (October 19 ~ 1, 9) 9 Line nm.3nm 5.1nm.1nm5.1nm.nm5.nm.nm5.nm.nm.9nm.1nm5.nm.nm.nm 1.5nm Space LWR (raw data) LWR (1plot: 1.1nm Length) LWR of 1 shot: Systematic LWR, Random1 shot LWR (raw data) LWR (1plot: 1.1nm Length) LWR of CD averaging: Systematic, Random1 shot / N (N: 7shots) Systematic component of printed LWR of 1shot is smaller than random component and is estimated to be less than % of 3HP.

10 Mask and Printed Image at Programmed Defect Edge Opaque Edge Clear EUVL Symposium (October 19 ~ 1, 9)

11 Mask and Printed Image at Programmed Defect Edge Opaque Edge Clear EUVL Symposium (October 19 ~ 1, 9) 11

12 Mask and Printed Image at Programmed Defect Isolated Opaque Isolated Clear EUVL Symposium (October 19 ~ 1, 9)

13 Printed CD vs Programmed Mask Defect Size Isolated Edge Space Width [nm] Space Width [nm] Opaque Simulation Measured 1 Defect Size (1x) [nm] Sim. (Defect: Simulation 1nm t) Sim. (Defect: 3nm t) Sim. (Defect: Measured nm t) Sim. (Defect: nm t) Measured 1 Defect Size (1x) [nm] Except isolated opaque defect, good agreement is achieved between measured and simulated results. Reduction of isolated opaque defect height is possibly the reason of difference between measured and simulated results. EUVL Symposium (October 19 ~ 1, 9) 13 Line Width [nm] Line Width [nm] Clear Simulation Measured 1 Defect Size (1x) [nm] Simulation Measured 1 Defect Size (1x) [nm]

14 Summary With conventional experimental procedure, it is difficult to measure precise critical defect size because printed pattern s line-edge/width roughness (LER/LWR) is larger than the CD tolerance of 3nm HP and beyond. In order to reduce systematic LER/LWR, mask process is improved. In order to reduce random LER/LWR, low LER resist material and CD averaging method of multiple exposure shots is introduced. Systematic component of printed LWR is smaller than random component and is estimated to be less than % of 3nm HP. Except isolated opaque defect, good agreement is achieved between measured and simulated results. Reduction of isolated opaque defect height is possibly the reason of difference between measured and simulated results. EUVL Symposium (October 19 ~ 1, 9) 1

15 Acknowledgements The authors would like to thank; Kosuke Takai, Kazuki Hagihara, Koji Murano, Masamitsu Ito of TOSHIBA Corporation for mask fabrication. Eiji Yamanaka of TOSHIBA Corporation for support of programmed mask defect measurement. This work was supported by NEDO. EUVL Symposium (October 19 ~ 1, 9) 15

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Progress & actual performance of the Selete EUV1

Progress & actual performance of the Selete EUV1 Progress & actual performance of the Selete EUV1 Kazuo Tawarayama*, Hajime Aoyama, Kentaro Matsunaga, Shunko Magoshi Selete Suigen Kyoh, Yumi Nakajima, Satoshi Tanaka, TOSHIBA 1 Outline Introduction Tool

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Line Width Roughness Control for EUV Patterning

Line Width Roughness Control for EUV Patterning Line Width Roughness Control for EUV Patterning Shinichiro Kawakami, Lior Huli, Shannon Dunn, Akiteru Ko TEL Technology Center, America, LLC., 255 Fuller Road, STE 244, Albany, NY 12203 USA Karen Petrillo,

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond EUV Workshop 2013 June 13, 2013 EUV Resist Materials and Process for 16 nm Half Pitch and Beyond Yoshi Hishiro JSR Micro Inc. No13-2400-056 Challenge for EUV Resist & JSR approaches EUV Resist Resolution,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

EUVL: Challenges to Manufacturing Insertion

EUVL: Challenges to Manufacturing Insertion Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 599-604 C 2017SPST Technical Paper EUVL: Challenges to Manufacturing Insertion Obert R. Wood II * Strategic Lithography Technology,

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Setting up a proper power spectral density (PSD) and autocorrelation analysis for material and process characterization Vito Rutigliani,

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING VINCENT WIAUX, VICKY PHILIPSEN, ERIC HENDRICKX EUVL WORKSHOP. BERKELEY, JUNE 13 th, 2018. PUBLIC EUV MASK 3D EFFECTS EXPERIMENTAL

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

EUVL Challenges for Next Generation Devices

EUVL Challenges for Next Generation Devices EUVL Challenges for Next Generation Devices Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device Roadmap and Lithography Extendibility

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2013 Volume 29, Issue 10 Best Oral Paper - JPM13 Improvement of EUVL mask structure

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Novel EUV Resist Development for Sub-14nm Half Pitch

Novel EUV Resist Development for Sub-14nm Half Pitch EUV Workshop 2015 Maui, HI P64 Novel EUV Resist Development for Sub-14nm Half Pitch Yoshi Hishiro JSR Micro Inc. EUV Workshop, June 17, 2015 1 Contents Requirement for sub-14nm HP EUV resist JSR strategy

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

EUVL Development in JAPAN

EUVL Development in JAPAN EUVL Workshop 2010, June24, Maui EUVL Development in JAPAN ~ Challenge, Idea, and Latest Achievement ~ Iwao Nishiyama 1 EUVL Development in Japan 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008

More information

Impact of EUV photomask line edge roughness on wafer prints

Impact of EUV photomask line edge roughness on wafer prints Second Place, Best Poster Award Impact of EUV photomask line edge roughness on wafer prints Zhengqing John Qi* a, Emily Gallagher a, Yoshiyuki Negishi b, Gregory McIntyre c, Amy Zweber a, Tasuku Senna

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV Resists: Pushing to the Extreme

EUV Resists: Pushing to the Extreme Journal of Photopolymer Science and Technology Volume 27, Number 6 (2014) 725 730 2014SPST Technical Paper EUV Resists: Pushing to the Extreme Patrick Naulleau 1, Christopher Anderson 1, Weilun Chao 1,

More information

Critical issue of non-topcoat resist for ultra low k 1 lithography

Critical issue of non-topcoat resist for ultra low k 1 lithography Critical issue of non-topcoat resist for ultra low k 1 lithography 1 Hirokazu Kato, 1 Tomoya Oori, 1 Koutaro Sho, 1 Kentaro Matsunaga, 1 Eishi Shiobara, 1 Tsukasa Azuma, 2 Yukio Nishimura, 2 Hiroki Nakagawa,

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information