Laser Produced Plasma Light Source for HVM-EUVL

Size: px
Start display at page:

Download "Laser Produced Plasma Light Source for HVM-EUVL"

Transcription

1 Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano, Hiroshi Someya, Toshihiro Nishisaka, Tamotsu Abe, Georg Soumagne, Hiroshi Komori, Hakaru Mizoguchi, Akira Sumitani and Koichi Toyoda EUVA (Extreme Ultraviolet Lithography System Development Association, Japan) International EUVL Symposium 30 October, 2007 Sapporo, Japan Acknowledgments This work was supported by the New Energy and Industrial Technology Development Organization -NEDO- Japan. 1 International EUVL Symposium 2007

2 Outline Introduction - LPP source roadmap and concept - Update of CO 2 laser produced Sn plasma source CO 2 laser produced Sn plasma source - High power CO2 laser system - EUV output evaluation at intermediate focus - Sn droplet target control - Sn deposition analysis and mitigation LPP/EUV future direction to HVM - System scalability Summary 2 International EUVL Symposium 2007

3 LPP Source Roadmap 1st Mid term 2004/9 2 nd Mid term 2006/3 EUVA Final 2008/3 HVM source planning EUV Power (IF) Stability Laser Laser freq. CE (source) Target 5.7W 1) --- YAG:1.5kW 10kHz 0.9% Xe-Jet 10W 1) σ<±10% CO 2 :2.6kW 100kHz 0.9% SnO 2 choroid liquid jet EUVA project 50W 2) σ <±5% CO 2 : 7.5kW 100kHz 2.5% Sn-Droplet 110W 2) /140W 3) 3σ<±0.3% CO 2 : 10kW 100kHz 4% Sn-Droplet Gigaphoton Technology for <10W Nd:YAG Laser, Liquid Xe jet Technology for W CO2 Laser, Sn droplet target Magnetic field mitigation Note) Primary source to IF EUV transfer efficiency: 1) 43% 2) 28% with SPF 3) 36% without SPF 3 International EUVL Symposium 2007

4 Light Source Concept Requirement for EUV source for HVM High EUV power >115 W EUV Stability Collector mirror lifetime Low CoG / CoO CO 2 laser + Sn LPP light source + Magnetic field mitigation Sn target supply High power pulsed CO 2 Laser IF Magnetic field mitigation 4 International EUVL Symposium 2007

5 Experimental devices for EUV source development Component development is driven by two experimental devices. 1. High power experiment device RF-CO 2 laser based system High power laser system development High power EUV generation Intermediate focus evaluation Target Chamber 2. Fundamental experiment device TEA-CO 2 laser based system CE experiment Debris analysis Mitigation system development Target development 5 International EUVL Symposium 2007

6 Update of CO 2 laser produced Sn plasma source Original concept: CO 2 laser + Sn LPP light source for HVM EUVL Update from May 2007 (EUV source workshop, Baltimore) Laser output power CO 2 laser power 7 kw 8 kw, continuous Topic 1 IF (intermediate focus) evaluation IF EUV power 60 W Topic 2 Sn droplet target Sn droplet active control Topic 3 Sn deposition analysis Uniform Sn deposition Topic 4 6 International EUVL Symposium 2007

7 Outline Introduction - LPP source roadmap and concept - Update of CO 2 laser produced Sn plasma source CO 2 laser produced Sn plasma source - High power CO 2 laser system - EUV output evaluation at intermediate focus - Sn droplet target control - Sn deposition analysis and mitigation LPP/EUV future direction to HVM - System scalability Summary 7 International EUVL Symposium 2007

8 High power CO 2 laser MOPA system Performances Laser Power: 8 kw Pulse Width: 20 ns Repetition Rate: 100 khz Beam quality : M2 1.1 Topic 1 High power experiment device See poster SO-P29 Laser System 60W 3 kw 8 kw Oscillator Wave length: 10.6um Rep. rate :100kHz Pulse width :20 ns (FWHM) Pre-Amplifier RF-excited CO2 laser Main-Amplifier RF-excited CO2 laser Oscillator Target Chamber 8 International EUVL Symposium 2007

9 High power CO 2 laser MOPA system Topic 1 High power experiment device Output laser beam characteristics Temporal pulse shape Beam quality Laser beam profile Intensity Time 50 ns/div. Beam Diamater (μm) After amplification Ocillator 3000 f= 508mm Distance form focusing lens (mm) Pulse duration : 20 ns (FWHM) Pedestal component : <10% Beam quality: M2=1.1 No significant change after amplification. 9 International EUVL Symposium 2007

10 High power CO 2 laser MOPA system Topic 1 High power experiment device Output laser beam characteristics Pulse energy stability : 2% (3σ, 500 pulses) 2.5 Laser pulse energy (arb. unit) Number of pulses 10 International EUVL Symposium 2007

11 EUV output evaluation at intermediate focus Topic 2 High power experiment device System configuration EUV chamber Oscillator Collector mirror 1sr (=3sr x 1/3) IF (intermediate focus) Oscillator Pre-Amp Main Amp Rotating Sn plate target 11 International EUVL Symposium 2007

12 EUV output evaluation at intermediate focus EUV IF power : 16 W (measured by 1sr collector) 60 W (4 sr collector, calculated) Target : Rotating Sn plate Laser irradiation power: 6 kw (100 khz, 20 ns) EUV energy stability : 3.8% (3σ, 500 pulses) IF image size : 3.6 mm (H), 3.3 mm (V) at 1/e^2 Etendue : 1.9 mm 2 sr (4 sr collector) Topic 2 High power experiment device EUV energy@if [mj] EUV pulse energy at intermediate focus point Number of pulses 12 International EUVL Symposium 2007

13 EUV output evaluation at intermediate focus Topic 2 High power experiment device IF image (EUV in-band) Y Z X IF size FWHM (mm) 1/e 2 (mm) X Y X Y Beam Profile on x-axis Beam Profile on y-axis Intensity (arb. unit X-axis Intensity (arb. unit Y-axis Position (mm) Position (mm) 13 International EUVL Symposium 2007

14 Sn droplet target control See poster SO-P31 Topic 3 Sn droplet charging Sn droplet generation Droplet generator Piezo. Piezo. Driver Syncro. Charging Electrode Charging controller Deflection Electrode Freq : 142kHz 500kHz Size : d=40 um 20 um δ Back light CCD 14 International EUVL Symposium 2007

15 Sn droplet target control Topic 3 Deflecting electrode 1mm Material: Sn Droplet : d =40 um Deflection : 1/10 : 4 mm 4mm 15 International EUVL Symposium 2007

16 Sn deposition analysis -Target dependency- Topic 4 Fundamental experiment device Sn deposition without magnetic field Experimental setup CO2 laser, 100kHz Mo/Si sample TEM cross sectional image of the samples 60deg 100mm Sn plate target Sn grain d=100 nm Sn wire target (mass limited) Uniform Sn layer 50nm 50nm 16 International EUVL Symposium 2007

17 Ion suppression by magnetic field intensity [a.u.] Faraday cup signal with and without magnetic field T 1T Topic 4 Fundamental experiment device Experimental setup time [us] Integrated ion signal versus magnetic flux density. 1 Ion signal [a.u.] noise level B-field [T] See poster SO-P31 17 International EUVL Symposium 2007

18 Mo/Si sample mirror test with B field Topic 4 Fundamental experiment device Experimental conditions Mo/Si mirror sample :10 bilayer Distance from plasma: 60 mm Angle to laser incidence: 45 degree Laser pulse energy: 20 mj Laser pulse number: pulse Static experiment (zero Sn vapor recovery) TEM cross sectional image of the exposed Mo/Si Before exposure B= 0 T 4.5 nm uniform Sn 1 nm uniform Sn B= 1 T 10nm 18 International EUVL Symposium 2007

19 Sn ion from CO 2 plasma Topic 4 Fundamental experiment device Sputter yield of Si by Sn ion 10 Deposition under 2keV sputter yield [atom/ion] Sn ion energy [kev] 2keV Si Sn ion TOF signal ion signal [V] sputter deposition 15deg 30deg 60deg 75deg time[us] 19 International EUVL Symposium 2007

20 Outline Introduction - LPP source roadmap and concept - Update of CO 2 laser produced Sn plasma source CO 2 laser produced Sn plasma source - High power CO 2 laser system - EUV output evaluation at intermediate focus - Sn droplet target control - Sn deposition analysis and mitigation LPP/EUV future direction to HVM - System scalability Summary 20 International EUVL Symposium 2007

21 20 kw short pulse CO 2 laser system AMP1 RF-excited CO2 laser Pumping : 50 kw 20 kw (200mJ at 100kHz) Multi-line Oscillator Rep. rate :100kHz pulse width :20 ns (FWHM) AMP2 RF-excited CO2 laser Pumping power : 120 kw AMP3 RF-excited CO2 laser Pumping power : 120 kw A 20 kw, single-beam CO2 laser is feasible which corresponds to 280 W in-band EUV at IF (CE=4%). Power Limitation Damage of Optics Short pulse damage threshold lower than CW threshold Filling Factor Laser beam diffraction Saturation Efficient amplification method 21 International EUVL Symposium 2007

22 Summary LPP source at EUVA (non-integrated setup) EUV output evaluation at intermediate focus. 60 W at I/F achieved. Preliminary target: solid Sn disk. 8 kw drive laser output power; scalable to 20 kw. Sn droplet active control. (poster presentation SO-P31) Magnetic debris mitigation of CO 2 laser produced Sn plasma. Sn deposition reduced by magnetic field. Neutral Sn deposition and countermeasures will be studied. Integrated system demonstration (SD) planned. Next step (integrated setup) Integrated system demonstration and mirror lifetime evaluation. 22 International EUVL Symposium 2007

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Key components development progress updates of the 25W high power LPP-EUV light source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Introduction of Products Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Hakaru Mizoguchi Takashi Saito Noritoshi Itou Taku Yamazaki

More information

Beam quality of a new-type MOPO laser system for VUV laser lithography

Beam quality of a new-type MOPO laser system for VUV laser lithography Beam quality of a new-type MOPO laser system for VUV laser lithography Osamu Wakabayashi a, Tatsuya Ariga a, Takahito Kumazaki a, Koutarou Sasano a, Takayuki Watanabe a, Takayuki Yabu a, Tsukasa Hori a,

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Tatsuya Ariga, Hidenori Watanabe, Takahito Kumazaki, Naoki Kitatochi, Kotaro Sasano, Yoshifumi Ueno, Masayuki Konishi,

More information

1 Introduction. Review Article

1 Introduction. Review Article Adv. Opt. echn. 215; 4(4): 297 39 Review Article Hakaru Mizoguchi*, Hiroaki Nakarai, amotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji, Hiroshi anaka, Yukio Watanabe, sukasa Hori, akeshi Kodama, Yutaka

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

Gigashot TM FT High Energy DPSS Laser

Gigashot TM FT High Energy DPSS Laser Gigashot TM FT High Energy DPSS Laser Northrop Grumman Cutting Edge Optronics (636) 916-4900 / Email: st-ceolaser-info@ngc.com 2015 Northrop Grumman Systems Corporation Gigashot TM FT Key Specifications

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

5kW DIODE-PUMPED TEST AMPLIFIER

5kW DIODE-PUMPED TEST AMPLIFIER 5kW DIODE-PUMPED TEST AMPLIFIER SUMMARY?Gain - OK, suggest high pump efficiency?efficient extraction - OK, but more accurate data required?self-stabilisation - Yes, to a few % but not well matched to analysis

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Single frequency MOPA system with near diffraction limited beam

Single frequency MOPA system with near diffraction limited beam Single frequency MOPA system with near diffraction limited beam quality D. Chuchumishev, A. Gaydardzhiev, A. Trifonov, I. Buchvarov Abstract Near diffraction limited pulses of a single-frequency and passively

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

Studies on Extreme Ultraviolet Sources

Studies on Extreme Ultraviolet Sources Studies o Extreme Ultraviolet Sources R. Lebert 1, L. Aschke 3, K. Bergma 1, S. Düsterer 3, K. Gäbel, D. Hoffma 1, P. Loose 1, W. Neff 1, P. Nickles 2, O. Rosier 1, D. Rudolph 4, H. Schwoerer 3, H. Stiel

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA Alexander N. Starodub Deputy Director N.G.Basov Institute of Quantum Radiophysics of P.N.Lebedev Physical Institute of the RAS Leninsky

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser High Average Power, High Repetition Rate Side-Pumped Nd:YVO Slab Laser Kevin J. Snell and Dicky Lee Q-Peak Incorporated 135 South Rd., Bedford, MA 173 (71) 75-9535 FAX (71) 75-97 e-mail: ksnell@qpeak.com,

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width Ryo Kawahara *1, Hiroshi Hashimoto *1, Jeffrey W. Nicholson *2, Eisuke Otani *1,

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

Laser Induced Damage Threshold of Optical Coatings

Laser Induced Damage Threshold of Optical Coatings White Paper Laser Induced Damage Threshold of Optical Coatings An IDEX Optics & Photonics White Paper Ronian Siew, PhD Craig Hanson Turan Erdogan, PhD INTRODUCTION Optical components are used in many applications

More information

High-Peak-Power Fiber-Laser Technology for Laser-Produced-Plasma Extreme-Ultraviolet Lithography

High-Peak-Power Fiber-Laser Technology for Laser-Produced-Plasma Extreme-Ultraviolet Lithography High-Peak-Power Fiber-Laser Technology for Laser-Produced-Plasma Extreme-Ultraviolet Lithography by Kai-Chung Hou A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor

More information

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Shun-ichi Matsushita*, * 2, Taizo Miyato*, * 2, Hiroshi Hashimoto*, * 2, Eisuke Otani* 2, Tatsuji Uchino* 2, Akira Fujisaki*,

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy

Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy REVIEW OF SCIENTIFIC INSTRUMENTS 76, 043503 2005 Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy P. A. C. Jansson, a U. Vogt, and H. M. Hertz Biomedical and X-Ray Physics, Royal

More information

DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE

DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE 1 DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE PRESENTED BY- ARPIT RAWANKAR THE GRADUATE UNIVERSITY FOR ADVANCED STUDIES, HAYAMA 2 INDEX 1. Concept

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Advanced seeders for fiber lasers - IFLA. 23 June. 2014

Advanced seeders for fiber lasers - IFLA. 23 June. 2014 Advanced seeders for fiber lasers - IFLA 23 June. 2014 Seeders - introduction In MOPA * pulsed fiber lasers, seeders largely impact major characteristics of the laser system: Optical spectrum Peak power

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

MEC Laser Systems. Bill White LCLS Laser Group Leader April 13, Bill White. MEC Laser Systems. MEC Workshop.

MEC Laser Systems. Bill White LCLS Laser Group Leader April 13, Bill White. MEC Laser Systems. MEC Workshop. Bill White LCLS Laser Group Leader April 13, 2009 1 1 Bill White Outline Laser Requirements / Wish List Energy vs. Rep Rate Trade-offs Baseline ns laser fs laser Layout in Hutch 6 Other possibilities Helen

More information

combustion diagnostics

combustion diagnostics 3. Instrumentation t ti for optical combustion diagnostics Equipment for combustion laser diagnostics 1) Laser/Laser system 2) Optics Lenses Polarizer Filters Mirrors Etc. 3) Detector CCD-camera Spectrometer

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Institute of Laser Engineering Osaka University Hidetsugu Yoshida Koji Tsubakimoto Hisanori Fujita Masahiro Nakatsuka Noriaki Miyanaga

More information

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton Progress in ultrafast Cr:ZnSe Lasers Evgueni Slobodtchikov, Peter Moulton Topics Diode-pumped Cr:ZnSe femtosecond oscillator CPA Cr:ZnSe laser system with 1 GW output This work was supported by SBIR Phase

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

1 kw, 15!J linearly polarized fiber laser operating at 977 nm

1 kw, 15!J linearly polarized fiber laser operating at 977 nm 1 kw, 15!J linearly polarized fiber laser operating at 977 nm V. Khitrov, D. Machewirth, B. Samson, K. Tankala Nufern, 7 Airport Park Road, East Granby, CT 06026 phone: (860) 408-5000; fax: (860)408-5080;

More information

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers Faming Xu, Chris Briggs, Jay Doster, Ryan Feeler and Edward Stephens Northrop Grumman Cutting Edge Optronics, 20 Point West Blvd,

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics High Power Thin Disk Lasers Dr. Adolf Giesen German Aerospace Center Folie 1 Research Topics - Laser sources and nonlinear optics Speiser Beam control and optical diagnostics Riede Atm. propagation and

More information

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec SPIE Photonics West 2.2.2014 Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier Bruno Le Garrec bruno.legarrec@eli-beams.eu On behalf of Georg Korn, Bedrich Rus and

More information

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams The System-FE-1064nm is set to generate short shaped pulses with high extinction ratio at 1064.1 nm. It allows dynamic extinction ratio up to 55 db with user adjustable pulse duration, repetition rate

More information

High Power and Energy Femtosecond Lasers

High Power and Energy Femtosecond Lasers High Power and Energy Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average powers. PHAROS features a mechanical and optical

More information

Schematic diagram of the DAP

Schematic diagram of the DAP Outline Introduction Transmission mode measurement results Previous emission measurement Trapping mechanics Emission measurement with new circuits Emission images Future plan and conclusion Schematic diagram

More information

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

Quantum-Well Semiconductor Saturable Absorber Mirror

Quantum-Well Semiconductor Saturable Absorber Mirror Chapter 3 Quantum-Well Semiconductor Saturable Absorber Mirror The shallow modulation depth of quantum-dot saturable absorber is unfavorable to increasing pulse energy and peak power of Q-switched laser.

More information

High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals

High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals Lasers in Manufacturing Conference 2017 High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals Abstract Youcef Lebour *, Jordi Juliachs, Carles Oriach Monocrom SL, Vilanoveta

More information

High Power Laser Models

High Power Laser Models e-mail info@aotlasers.com Technical Note (15) High Power Laser Models Over the past ~ 12 months (26/7) AOT undertook a programme of work directed at further improving it s range of short pulse lasers.

More information

Large-Area Interference Lithography Exposure Tool Development

Large-Area Interference Lithography Exposure Tool Development Large-Area Interference Lithography Exposure Tool Development John Burnett 1, Eric Benck 1 and James Jacob 2 1 Physical Measurements Laboratory, NIST, Gaithersburg, MD, USA 2 Actinix, Scotts Valley, CA

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Theory and Applications of Frequency Domain Laser Ultrasonics

Theory and Applications of Frequency Domain Laser Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Theory and Applications of Frequency Domain Laser Ultrasonics Todd W. MURRAY 1,

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto University Repositor Title High Repetition Rate Pulsed Power G Extreme Ultraviolet Light Source Author(s) Sakugawa, Takashi; Nagano, Kiyohiko Yoshihiko; Namihira, Takao; Akiyama, Hidenori

More information