Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Size: px
Start display at page:

Download "Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose"

Transcription

1 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose

2 Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab. University LPP research at Osaka University (Leading Project)

3 3

4 EUV LPP Source Roadmap 4 Fiscal Year ~2008 EUV power -- 4W 10W intermediate focus Plasma target Xe Xe Xe Droplet Conversion efficiency 0.6% 0.7% 0.8% TBD Laser power 1.5kW 2.5kW 5kW TBD EUV power in 2pisr 2%BW 4.0W 9.1W 40W 420W Available collection solid angle sr 5sr Repetition rate 10kHz 10kHz 10kHz TBD Technology for 10W Nd:YAG Laser, Liquid Xe jet Technology for 115W CO 2 Laser, droplet target Magnetic field mitigation

5 Concept of LPP source by CO 2 laser 5 Collector Mirror Target Chamber Main Laser: CO 2 Laser pulse width: ns Beam Splitter EUV / 13.5nm Pre-Pulse Laser: Nd:YAG Laser pulse width: Sub-ns Xe Target Conversion Efficiency (CE) of 0.6% has been obtained!

6 LPP source system CO 2 laser 6 Xenon Recirculation System (XRS) MOPA CO 2 Laser 1500 Target Chamber Power Supply

7 7 Cost estimation of LPP light source Initial Cost Running Cost 0.55~0.82 Initial Cost 5.6~ ~9.0 CO 0.55~0.82 CO 110 JYen = 1 USD CO (C.E.=1.0~0.5%) Total Component Initial Cost (M$) Laser System 3.7~7.1 EUV Chamber 1.2 Xe Re-Circulation System 0.5 Collector Mirror 0.2 Estimation based on: 21.7~31.7 Mill. YAG 2.54~3.63 YAG CO 2, CO 2, 2.54~3.63 CO 2 Running Cost (M$/year) Initial Cost (M$) YAG (C.E.=1.2~0.8%) Running Cost (M$/year) 5.6~ ~ ~ ~ ~ ~ ~ ~ ~84kW, 100kHz 35~53kW, 10kHz ~ ~ W Source Power at I.F units produced in wafer/hr throughput 21.3 Billion pulse 10kHz 213 Billion pulse 100kHz CO 2 Driver laser system for LPP

8 Xe droplet technology for 115W source 8 Xenon droplet for 115W source Irradiation interval : > 600µm Driver laser frequency : 100kHz Required droplet speed : > 60m/s High speed droplet High pressure Xe supply v = 2 P ρ V : velocity P:Pressure ρ :Density Bernoulli s theorem 5µs Stationary Moving 200µm Irradiated droplet Droplets Velocity :20m/s Frequency:100kHz Interval :202µm 15µs Irradiation Interval 600µm Required Xe pressure : > 5.4MPa

9 Fast Ion Mitigation for Xenon Plasma 9 Xe Jet Nozzle B Mirror Laser QCM detector EUV Ion Laser Magnetic Coil Magnetic Field Erosion Rate (nm / M pulse) Laser Energy (mj) Erosion rate dependence on magnetic field and laser energy Mirror Life Estimation Experimental Value 115 W Source Item Nd:YAG CO2 Laser Energy (mj) Mirror Distance (mm) Laser Frequency (Hz) Mirror Life (M pulse) Mirror Life with Magnet (M pulse) Estimated collector mirror lifetime with magnetic mitigation is > 1B pulses.

10 DPP source development 10 Discharge tube Insulator Our approach Electrode Discharge gas Pulse generator Electrode EUV --Moderate diameter of of capillary. --Relatively low low current compared to to conventional Z-pinch. --Mitigation of of wall wall damage and and effect of of plasma instability. --Small source size. size. Key issues for for DPP Increase of of EUV EUV power at at intermediate focus. Lifetime matter of of discharge head. Debris mitigation.

11 DPP performance roadmap 11 Metrics Feb Jun Oct Feb Q-2008 EUV emitter Xe Xe Xe Xe Sn TBD EUV power at IF 2.7W 4.8W 8.4W 19W 47W * > 50W EUV emission from primary source 12.6W / 1.45sr 39.7W / 2.1sr 59.3W / 3.1sr 93W / 3.1sr 186W / pi sr ** 200W / 3sr Repetition rate 2kHz 7kHz 7kHz 7kHz (7kHz) 7-10kHz Energy dose stability (1 ) Angular distribution stability (1 ) Mirror lifetime (10% loss) 1.1% 4.9% 1.3% 1.3% 2.4% < 0.1 % 8.2% 7.9% 4.8% 4.8% --- < 5% > 1x10 7 shot --- > 0.5x10 6 sec * Pi sr collector optics is assumed ** Estimated value from low rep.-rate measurement results

12 Flying Circus EUVA source assessment 12 Flying Circus visit led to to upward adjustment of of results. Energy Energy up up to to mj/sr mj/sr Hz Hz Source Source sccm: sccm: φ0.17 φ0.17 x x L0.8 L0.8 mm mm // 80% 80% area area φ0.24 φ0.24 x x L0.82 L0.82 mm mm FOM in-band EUV (mj / 2 sr) in-band EUV (mj / 2 sr) High Voltage (kv) stored capacitor energy (J) Santi Alonso vd Westen, Caspar Bruineman, Fred Bijkerk, Vivek Bakshi

13 Performance of primary source 13 Instantaneous EUV power (ESA, 2%BW) [W] moving average (50 pulses) Pulse # Relative intensity Angle (deg.) 7kHz 7kHzoperation :: Effective Effective solid solid angle angle = sr sr Average Average EUV EUV power power (2%BW) (2%BW) = 93W 93W // 3.1sr 3.1sr (189W (189W/ / 2 pi) pi) Integrated Integrated energy energy stability stability (50 (50 pulses, pulses, sigma) sigma) = 1.3% 1.3% Angular distribution

14 Usable power at IF 14 Primary source (d= 0.5, L= 1.56) 28% of source power is collected to I.F. 1mm Source EUV image Collector mirrors (Grazing-incidence) Focus Fabricated by MEDIA LARIO s.r.l Primary source : 93W Collection efficiency : 28% Transmission (gas, shield) : 72% Focus image Grazing-incidence collector with cooling line I.F. power = 19W

15 Mirror lifetime: reflectivity monitoring 15 Test piece mirror 20 deg. Aperture 30 deg. Photodiode-1 20 deg. Discharge head (Debris shield) Photodiode-2 Reflectivity degradation monitor for grazing-incidence mirror Normalized reflectivity without debris shield with debris shield 0.0E E E E E E+07 Number of pulses Mirror lifetime: > shots demonstrated.

16 Emission and absorption of LPP Sn plasma are well characterized using J- to kj-class lasers. opacity measurement EUV and n e profiles probe Thermal radiation (T R = 50 ev) opacity sample target surface 1.2 low-density SnO Transmission T e ~ 30 ev Wavelength (nm) T e ~ 0 ev 16 18

17 We have theoretically investigated optimum conditions of laser wavelength, intensity and pulse duration to lead to the maximum conversion efficiency for tin, xenon and lithium. Sn, 1.2 ns Xe, 5 ns Te (ev) 80 ion density (cm 50-3 ) ion density (cm -3 ) Te [ev] 30 Li, 20 ns ion density [cm -3 ]

18 18

19 19 Summary Achieved performance LPP by YAG laser - In-band Power 4.0 W (2%BW) at IF <Estimate> - Conversion Efficiency Hz (2%BW, 2π2 sr) by CO 2 laser - Conversion Efficiency Hz (2%BW, 2π2 sr) - Short Pulse 6kW CO 2 laser is under development DPP by Xe target - In-band power ~ autumn, Mirror lifetime > shot by Sn target - In-band power 186 W (2%BW, 47 W (2%BW) at IF <Estimate> 93 W (2%BW, 3.1 sr) at Primary Source 19 W (2%BW) at IF shot (10% loss) (2%BW, sr) at Primary Source <Estimate> Main target for 2008 : 115 W (intermediate focus)

20 Acknowledgements 20 This work was performed under the management of the Extreme Ultraviolet Lithography System Development Association, a research and development program of NEDO.

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

APRAD SOR Excimer group -Progress Report 2011-

APRAD SOR Excimer group -Progress Report 2011- APRAD SOR Excimer group -Progress Report 011- The DPP EUV source activity During 011 the work on the DPP (Discharge Produced Plasma) source of Extreme Ultraviolet (EUV) radiation has been devoted to a

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto University Repositor Title High Repetition Rate Pulsed Power G Extreme Ultraviolet Light Source Author(s) Sakugawa, Takashi; Nagano, Kiyohiko Yoshihiko; Namihira, Takao; Akiyama, Hidenori

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Igor V. Fomenkov, Richard M. Ness, Ian R. Oliver, Stephan T. Melnychuk, Oleh V. Khodykin, Norbert R. Böwering, Curtis L.

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

II. PHASE I: TECHNOLOGY DEVELOPMENT Phase I has five tasks that are to be carried out in parallel.

II. PHASE I: TECHNOLOGY DEVELOPMENT Phase I has five tasks that are to be carried out in parallel. Krypton Fluoride Laser Development-the Path to an IRE John Sethian Naval Research Laboratory I. INTRODUCTION We have proposed a program to develop a KrF laser system for Inertial Fusion Energy. Although

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Laser-produced plasma EUV light source with isolated plasma

Laser-produced plasma EUV light source with isolated plasma University of Central Florida UCF Patents Patent Laser-produced plasma EUV light source with isolated plasma 8-23-2005 Steven Fornaca Jeffrey Hartlove Armando Martos Stuart McNaught Mark Michaelian See

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

A NEW MULTI-POINT, MULTI-PULSE THOMSON SCATTERING SYSTEM FOR THE MST RFP

A NEW MULTI-POINT, MULTI-PULSE THOMSON SCATTERING SYSTEM FOR THE MST RFP A NEW MULTI-POINT, MULTI-PULSE THOMSON SCATTERING SYSTEM FOR THE MST RFP D. J. HOLLY, P. ANDREW, and D. J. DEN HARTOG Department of Physics, University of Wisconsin Madison, 1150 University Avenue, Madison,

More information

Studies on Extreme Ultraviolet Sources

Studies on Extreme Ultraviolet Sources Studies o Extreme Ultraviolet Sources R. Lebert 1, L. Aschke 3, K. Bergma 1, S. Düsterer 3, K. Gäbel, D. Hoffma 1, P. Loose 1, W. Neff 1, P. Nickles 2, O. Rosier 1, D. Rudolph 4, H. Schwoerer 3, H. Stiel

More information

CU-LASP Test Facilities! and Instrument Calibration Capabilities"

CU-LASP Test Facilities! and Instrument Calibration Capabilities CU-LASP Test Facilities! and Instrument Calibration Capabilities" Ginger Drake Calibration Group Manager 303-492-5899 Ginger.Drake@lasp.colorado.edu Thermal Vacuum Test Facilities" 2 Multiple Optical Beam

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

Pyroelectric, Photodiode and RP Heads for Repetitive Energy Measurements

Pyroelectric, Photodiode and RP Heads for Repetitive Energy Measurements Pyroelectric, Photodiode and RP Heads for Repetitive Energy Measurements Pyroelectric and Photodiode Heads RP Heads For latest updates please visit our website: www.ophiropt.com 1 Pyroelectric and Photodiode

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Plasma Sheath Velocity and Pinch Phenomenal Measurements in TPF-II Plasma Focus Device

Plasma Sheath Velocity and Pinch Phenomenal Measurements in TPF-II Plasma Focus Device Plasma Sheath Velocity and Pinch Phenomenal Measurements in TPF-II Plasma Focus Device Arlee Tamman PE wave : Center of Excellence in Plasma Science and Electromagnetic Wave Walailak University, THAILAND

More information

Report on BLP Spectroscopy Experiments Conducted on October 6, 2017: M. Nansteel

Report on BLP Spectroscopy Experiments Conducted on October 6, 2017: M. Nansteel Report on BLP Spectroscopy Experiments Conducted on October 6, 2017: M. Nansteel Summary Several spectroscopic measurements were conducted on October 6, 2017 at BLP to characterize the radiant power of

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to Nd: YAG Lasers Dope Neodynmium (Nd) into material (~1%) Most common Yttrium Aluminum Garnet - YAG: Y 3 Al 5 O 12 Hard brittle but good heat flow for cooling Next common is Yttrium Lithium Fluoride: YLF

More information

Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities

Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities Mark Paravia, Michael Meisser, Wolfgang Heering GEC, Saratoga Springs 29,, Germany KIT University of the State of Baden-Württemberg

More information

IN-LAB PELLICLE METROLOGY CHALLENGES

IN-LAB PELLICLE METROLOGY CHALLENGES IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University 04.10.2015, Maastricht Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

Atlantic. Industrial High Power Picosecond Lasers. features

Atlantic. Industrial High Power Picosecond Lasers. features Atlantic Industrial High Power Picosecond Lasers lasers have been designed as a versatile tool for a variety of industrial material processing applications. They are compact, OEM rugged, with up to 8 W

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

Pulsed Characterization of a UV LED for Pulsed Power Applications on a Silicon Carbide Photoconductive Semiconductor Switch

Pulsed Characterization of a UV LED for Pulsed Power Applications on a Silicon Carbide Photoconductive Semiconductor Switch Pulsed Characterization of a UV LED for Pulsed Power Applications on a Silicon Carbide Photoconductive Semiconductor Switch Nicholas Wilson, Daniel Mauch, Vincent Meyers, Shannon Feathers, James Dickens,

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Laser Induced Damage Threshold of Optical Coatings

Laser Induced Damage Threshold of Optical Coatings White Paper Laser Induced Damage Threshold of Optical Coatings An IDEX Optics & Photonics White Paper Ronian Siew, PhD Craig Hanson Turan Erdogan, PhD INTRODUCTION Optical components are used in many applications

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center - Center Mission -

More information

Experimental Physics. Experiment C & D: Pulsed Laser & Dye Laser. Course: FY12. Project: The Pulsed Laser. Done by: Wael Al-Assadi & Irvin Mangwiza

Experimental Physics. Experiment C & D: Pulsed Laser & Dye Laser. Course: FY12. Project: The Pulsed Laser. Done by: Wael Al-Assadi & Irvin Mangwiza Experiment C & D: Course: FY1 The Pulsed Laser Done by: Wael Al-Assadi Mangwiza 8/1/ Wael Al Assadi Mangwiza Experiment C & D : Introduction: Course: FY1 Rev. 35. Page: of 16 1// In this experiment we

More information

Laser Diode Bar Assemblies

Laser Diode Bar Assemblies Product Division Laser Diode Bar Assemblies Product PH-800-QCW Description 800W QCW pumping power, Ø3mm rod Main Features This compact laser pumping head consists of six water-cooled diode laser bars arranged

More information

Overview of ICRF Experiments on Alcator C-Mod*

Overview of ICRF Experiments on Alcator C-Mod* 49 th annual APS-DPP meeting, Orlando, FL, Nov. 2007 Overview of ICRF Experiments on Alcator C-Mod* Y. Lin, S. J. Wukitch, W. Beck, A. Binus, P. Koert, A. Parisot, M. Reinke and the Alcator C-Mod team

More information

Ion Heating Arising from the Damping of Short Wavelength Fluctuations at the Edge of a Helicon Plasma Source

Ion Heating Arising from the Damping of Short Wavelength Fluctuations at the Edge of a Helicon Plasma Source Ion Heating Arising from the Damping of Short Wavelength Fluctuations at the Edge of a Helicon Plasma Source Division of Plasma Physics American Physical Society October 2012 Providence, RI Earl Scime,

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Institute of Laser Engineering Osaka University Hidetsugu Yoshida Koji Tsubakimoto Hisanori Fujita Masahiro Nakatsuka Noriaki Miyanaga

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Key components development progress updates of the 25W high power LPP-EUV light source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa

More information

Single frequency MOPA system with near diffraction limited beam

Single frequency MOPA system with near diffraction limited beam Single frequency MOPA system with near diffraction limited beam quality D. Chuchumishev, A. Gaydardzhiev, A. Trifonov, I. Buchvarov Abstract Near diffraction limited pulses of a single-frequency and passively

More information

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA Alexander N. Starodub Deputy Director N.G.Basov Institute of Quantum Radiophysics of P.N.Lebedev Physical Institute of the RAS Leninsky

More information

High energy X-ray emission driven by high voltage circuit system

High energy X-ray emission driven by high voltage circuit system Journal of Physics: Conference Series OPEN ACCESS High energy X-ray emission driven by high voltage circuit system To cite this article: M Di Paolo Emilio and L Palladino 2014 J. Phys.: Conf. Ser. 508

More information

CO 2 Remote Detection Using a 2-µm DIAL Instrument

CO 2 Remote Detection Using a 2-µm DIAL Instrument CO 2 Remote Detection Using a 2-µm DIAL Instrument Erwan Cadiou 1,2, Dominique Mammez 1,2, Jean-Baptiste Dherbecourt 1,, Guillaume Gorju 1, Myriam Raybaut 1, Jean-Michel Melkonian 1, Antoine Godard 1,

More information

Helicons - Our Last Year

Helicons - Our Last Year Helicons - Our Last Year Christian M. Franck and Thomas Klinger Max-Planck Institut für Plasmaphysik Teilinstitut Greifswald Euratom Association Outline Introduction The VINETA experiment Distinguishing

More information

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 EUV Source Workshop Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 Organization Committee Vivek Bakshi (Chair, SEMATECH), Vadim Banine (ASML), Akira Endo (EUVA), Igor Fomenkov (Cymer),

More information

Terahertz Radiation of a Low-inductance Discharge in Vacuum with Laser-plasma Initiation

Terahertz Radiation of a Low-inductance Discharge in Vacuum with Laser-plasma Initiation VII International Conference on Photonics and Information Optics Volume 2018 Conference Paper Terahertz Radiation of a Low-inductance Discharge in Vacuum with Laser-plasma Initiation K. I. Kozlovskii,

More information

Pulsed Laser Power Measurement Systems

Pulsed Laser Power Measurement Systems Pulsed Laser Power Measurement Systems Accurate, reproducible method of determining total laser and laser diode power Ideal for Beam Power Measurement Labsphere s Pulsed Laser Power Measurement Systems

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

Atlantic. Industrial High Power Picosecond Lasers. features

Atlantic. Industrial High Power Picosecond Lasers. features Industrial High Picosecond Lasers lasers have been designed as a versatile tool for a variety of industrial material processing applications. They are compact, OEM rugged, with up to 6 W output power at

More information

Instrumentation Development for a Novel Local Electric and Magnetic Field Fluctuation Diagnostic

Instrumentation Development for a Novel Local Electric and Magnetic Field Fluctuation Diagnostic Instrumentation Development for a Novel Local Electric and Magnetic Field Fluctuation Diagnostic Mindy Bakken On behalf of: R.J. Fonck, M.G. Burke, B.T. Lewicki, A.T. Rhodes, G.R. Winz 58 th Annual Meeting

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Sustainment and Additional Heating of High-Beta Field-Reversed Configuration Plasmas

Sustainment and Additional Heating of High-Beta Field-Reversed Configuration Plasmas 1 Sustainment and Additional Heating of High-Beta Field-Reversed Configuration Plasmas S. Okada, T. Fukuda, K. Kitano, H. Sumikura, T. Higashikozono, M. Inomoto, S. Yoshimura, M. Ohta and S. Goto Science

More information

JAWIRA TIMUR SDN. BHD.,

JAWIRA TIMUR SDN. BHD., SIRIM QAS International Sdn.Bhd. (410334-X) No.1, Persiaran Dato Menteri, Section 2, P.O.BOX 7035, 40700 Shah Alam, Selangor Darul Ehsan, Malaysia. Tel: 03-55446252 Fax: 03-55446272 www.sirim-qas.com.my

More information

Optical cesium beam clock for eprtc telecom applications

Optical cesium beam clock for eprtc telecom applications Optical cesium beam clock for eprtc telecom applications Michaud Alain, Director R&D and PLM Time & Frequency, Oscilloquartz Dr. Patrick Berthoud, Chief Scientist Time & Frequency, Oscilloquartz Workshop

More information

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography High Power, High Repetition Rate F 2 Laser R. Pätzel a, S. Spratte a, F. Voss a, I. Bragin a, E. Bergmann a, N. Niemöller a, T. Nagy a, U. Rebhan a, K. Vogler a, I. Klaft a, S. Govorkov b, G. Hua b a Lambda

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

Toroidal Rotation and Ion Temperature Validations in KSTAR Plasmas

Toroidal Rotation and Ion Temperature Validations in KSTAR Plasmas Toroidal Rotation and Ion Temperature Validations in KSTAR Plasmas S. G. Lee 1, H. H. Lee 1, W. H. Ko 1, J. W. Yoo 2, on behalf of the KSTAR team and collaborators 1 NFRI, Daejeon, Korea 2 UST, Daejeon,

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL Facility Status Report Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL(Kyoto University FEL) A mid-infrared free electron laser (MIR-FEL) facility KU-FEL

More information

Analytical Spectroscopy Chemistry 620: Midterm Exam Key Date Assigned: April 15, Due April 22, 2010

Analytical Spectroscopy Chemistry 620: Midterm Exam Key Date Assigned: April 15, Due April 22, 2010 Analytical Spectroscopy Chemistry 620: Key Date Assigned: April 15, Due April 22, 2010 You have 1 week to complete this exam. You can earn up to 100 points on this exam, which consists of 4 questions.

More information

5 W XENON FLASH LAMP MODULES

5 W XENON FLASH LAMP MODULES LAMP W XENON FLASH LAMP MODULES : L/L series (side-on type) : L/L series (head-on type) : L/L series (high output type) : L (SMA fiber adapter type) : L/L series (high precision type) : L/L series (silent

More information