Studies on Extreme Ultraviolet Sources

Size: px
Start display at page:

Download "Studies on Extreme Ultraviolet Sources"

Transcription

1 Studies o Extreme Ultraviolet Sources R. Lebert 1, L. Aschke 3, K. Bergma 1, S. Düsterer 3, K. Gäbel, D. Hoffma 1, P. Loose 1, W. Neff 1, P. Nickles 2, O. Rosier 1, D. Rudolph 4, H. Schwoerer 3, H. Stiel 2, I. Will 2, C. Zieer 3, R. Poprawe 1, W. Sader 2, R. Sauerbrey 3,G. Schmahl 4 1 Frauhofer Istitut für Lasertechik, Aache 2 Max-Bor-Istitut, Berli 3 IOQ, Friedrich Schiller Uiversity Jea, 4 Istitut für Rötgephysik, Göttige EUVL Source Developmet LPP Coversio Efficiecy Developmet of a high Power Laser Discharge Sources

2 Key Issues of EUVL Source Developmet Discharge P EUV = P electrical *η*ω EUVL-Source Laser P EUV = P Laser *η*ω Cocept Debris Coversio HP-Laser Target Coversio Debris Efficiet SPECS! NO HIGH! Low CoO HIGH! Z-Pich Capillary PF HCT-Pich Pulse eergy Pulse duratio Reprate Itesity Pulse duratio Size

3 Key issues addressed i the Germa scietific program Source Metrology Tool-Set for source characterizatio Compariso of sources (coordiated with ASML Flyig Circus) Key experimets o LPP - Optimizatio of Coversio (fs-ps-s), - LPP at High Repetitio rate, Ifluece of laser wavelegth, Ifluece of target Cocepts for high power lasers based o commercially available compoets Studies of ew laser cocepts Evaluatio of limits of gas discharge based EUVL sources Evaluatio Discharge Cocepts Operatio with high repetitio rate ad high power

4 igh Power Laser Developmet: based o commercial compoets. OSCILLATOR PRE-AMPLIFIER POWER AMPLIFIER P cw cw = 4.4 kw Diode lifetime > 20,000 h exp. Price < 1 M$ Preamplifier lifetime > 10,000 h price < 200 k$ commercial diode modules lifetime > 10,000 h price < 300 k$

5 igh Power Laser Developmet : RSL-DY-Laser based pre-amplifier Pulse eergy after sigle pass pre-amplifier as a fuctio of the diode laser curret E p_i : ad 8 mj max pulse eergy: 63 mj Repetitio Rate : 1 khz output power : 63 W Beam quality at max. pump power : M²=1,9 Output pulse Eergy E p,out [mj] Output power [W] Setup: 2 Amplifier modules, sigle pass, 1 khz Beam Quality at I Diode = 43 A: M 2 = 1,9 E P,i = 2,23 mj P i = 2,23 W E P,i = 3,76 mj P i = 3,76 W E P,i = 6,08 mj P i = 6,08 W E P,i = 8,05 mj P i = 8,05 W Theorie for E p,i =8,05 mj Diode curret I Diode [A]

6 LPP Coversio : Depedece o Itesity Target: froze Xe Focus : r F = 25 µm Laser : 10 s : 12 W : 1,2 J / pulse CONVERSION EFFICIENCY [ % / 2eV badwidth ] N photo /dλ/dω/pulse [ 1/m/2πsr/pulse ] 3.0x x x x x x10 13 xeo O m Be K- edge Xe XII Si L-edge Xe XI WAVELENGTH [ m ] INTENSITY [ W/cm 2 ] Optimized Itesity is close to W/cm 2 for 10 s laser pulses

7 LPP coversio : Depedece o Pulse Duratio : Solid Target Target : glass solid state Focus : r F = 10 µm Eergy : 250 mj / pulse Laser : Jea multi-tw Coversio efficiecy icreases by a factor of 5 from 100 fs to 8 s Photos per pulse / (sr 0.03 m) W/cm W/cm W/cm 2? Depedece o itesity Pulse legth [s] ==> lower pulse eergy ==> larger source Coversio efficiecy = 0,15 % / sr

8 LPP coversio : Depedece o Pulse Duratio: Droplet Target Target : Water droplet Focus : r F = 10 µm Eergy : 200 mj / Pulse Laser : Jea multi-tw Coversio efficiecy is early costat worst for 1-10 ps Low h due to high pulse eergy ad small source size Photos per pulse / (sr 0.03 m),63,48,32, W/cm W/cm 2 ==> better laser-droplet match ecessary Pulse legth [s] ==> lower pulse eergy ==> larger source Coversio efficiecy = 0,005 % / sr W/cm 2

9 LPP coversio : Depedece o repetitio rate : Waterjet with s P EUV = P Laser *η Pulse (I,τ) P Laser = f laser * E Pulse Target: Waterjet Focus : r F = 10 µm Laser : s : 0,5 kw : 0.5 µj - 50 mj EUV 13 m i 0.05 m bw [a.u.] Improved itesity P L = 0,5 kw; τ= 3 s Repetitio Rate f [khz] Decrease proportioal to 1/f (itesity to low) f opt = 180 khz ==> I opt = 3*10 11 W/cm 2

10 LPP coversio : Depedece o repetitio rate : Waterjet with ps Target : Waterjet Focus : r F = 10 µm Laser : 25, 40 ps : 0,5 kw : 0.5 mj - 50 mj EUV 13 m i 0.05 m bw [a.u.] 0,5 kw; 25 ps: o, 40 ps: o Improved itesity Repetitio Rate f [khz] F opt = 320 khz ==> ==> I opt = 1,7*10 13 W/cm 2

11 LPP Coversio : Hydrodyamic Stability of Targets Coversio is idepedet from reprate up to 250 khz ==> Jet target is hydrodyamical stable Target : Waterjet Focus : r F = 10 µm Laser : 25 ps pulses : 20 W-0,5 kw EUV 13 m i 0.05 m bw [a.u.] I = W/cm 2 P avg cost Repetitio Rate f [khz]

12 High Power Laser Developmet : Expected pre-amplifier power Oscillator: 8 mj / pulse I double pass operatio 2 modules reach the desired power ad are still operated i the log lifetime mode sigle pass of oe module sigle pass through 2 modules double pass through 2 modules

13 Discharge Plasmas as EUVL Sources Plasma-Focus Z-Pich IGNITION Curret flow Capillary-Discharge Hollow-Cathode triggered (HCT) Z-Pich η EUV = η Pich *η Geerator *η Output

14 Prelimiary Ratig of EUVL Source cadidates LPP fs LPP ps LPP s Capillary pich PFpich Z-Pich HCT Pich Sychr. Fuctio Acceptace Ecoomic low medium medium medium Key issue HPL- CoO HPL- CoO HPL- CoO Debris Power Debris Stability Power Power Reprate Power Covers. Cocept F&E -duratio log medium shorter medium medium medium medium log Show-Stopper Stability? Size

15 Outlook: best umbers combied ad outlook Approach Iput Power Coversio Ω Yield ito codeser 2 kw η = 0.03 %/sr 2sr 1.2 W Laser produced 5 kw η = 0.10 %/sr 2 π sr 30 W 10 kw η = 0.15 %/sr 2 π sr 90 W Gas discharge 5 kw 30 kw η = 0.03 %/sr η = 0.10 %/sr 1sr 2sr 1.5 W 60 W

16 Curret Flow Curret flow

17 Pich Igitio IGNITION

18 Sources for EUV-Lithography : Source developmet Approach Basic Research Developmet Tools Laser produced Coversio Efficiecy Debris free target High power laser High Reprate Target Laser CoO Target lifetime mtf Gas discharge Coversio efficiecy Debris free discharge High Power device High Reprate device CoO lifetime mtf System Spectral distributio Suited emitters Emissio characteristics Couplig to Optics Degradatio Cotamiatio

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Super J-MOS Low Power Loss Superjunction MOSFETs

Super J-MOS Low Power Loss Superjunction MOSFETs Low Power Loss Superjuctio MOSFETs Takahiro Tamura Mutsumi Sawada Takayuki Shimato ABSTRACT Fuji Electric has developed superjuctio MOSFETs with a optimized surface desig that delivers lower switchig.

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickso Departmet of Electrical, Computer, ad Eergy Egieerig Uiversity of Colorado, Boulder 4.2.2. The Power MOSFET Gate Source Gate legths approachig oe micro p - p Cosists of may small ehacemetmode

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD.

ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD. ADITIONS TO THE METHOD OF ELECTRON BEAM ENERGY MEASUREMENT USING RESONANT ABSORPTION OF LASER LIGHT IN A MAGNETIC FIELD. Melikia R.A. (YerPhI Yereva) 1. NEW CONDITION OF RESONANT ABSORPTION Below we ca

More information

High-Power Femtosecond Lasers

High-Power Femtosecond Lasers High-Power Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average power. PHAROS features a mechanical and optical design optimized

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickso Departmet of Electrical, Computer, ad Eergy Egieerig Uiversity of Colorado, Boulder Specific o-resistace R o as a fuctio of breakdow voltage V B Majority-carrier device: AARR #$ = kk μμ $

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Geometrical Optics Fiber optics

Geometrical Optics Fiber optics Phys 322 Lecture 15 Chapter 5 Geometrical Optics Fiber optics First optical commuicatio Alexader Graham Bell 1847-1922 1880: photophoe 4 years after ivetig a telephoe! Fiberoptics: first lightguide 1870:

More information

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics High Power Thin Disk Lasers Dr. Adolf Giesen German Aerospace Center Folie 1 Research Topics - Laser sources and nonlinear optics Speiser Beam control and optical diagnostics Riede Atm. propagation and

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

DIGITALLY TUNED SINUSOIDAL OSCILLATOR USING MULTIPLE- OUTPUT CURRENT OPERATIONAL AMPLIFIER FOR APPLICATIONS IN HIGH STABLE ACOUSTICAL GENERATORS

DIGITALLY TUNED SINUSOIDAL OSCILLATOR USING MULTIPLE- OUTPUT CURRENT OPERATIONAL AMPLIFIER FOR APPLICATIONS IN HIGH STABLE ACOUSTICAL GENERATORS Molecular ad Quatum Acoustics vol. 7, (6) 95 DGTALL TUNED SNUSODAL OSCLLATOR USNG MULTPLE- OUTPUT CURRENT OPERATONAL AMPLFER FOR APPLCATONS N HGH STABLE ACOUSTCAL GENERATORS Lesław TOPÓR-KAMŃSK Faculty

More information

Potential of SiC for Automotive Power Electronics. Departement Vehicle Electronics Fraunhofer IISB Page 1

Potential of SiC for Automotive Power Electronics. Departement Vehicle Electronics Fraunhofer IISB Page 1 Potetial of SiC for Automotive Power Electroics Frauhofer IISB Page 1 Overview Gai power desity by SiC Coverter #1: Most compact full SiC power electroic Coverter #2: Idustrial style SiC coverter Iverters:

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

A New Space-Repetition Code Based on One Bit Feedback Compared to Alamouti Space-Time Code

A New Space-Repetition Code Based on One Bit Feedback Compared to Alamouti Space-Time Code Proceedigs of the 4th WSEAS It. Coferece o Electromagetics, Wireless ad Optical Commuicatios, Veice, Italy, November 0-, 006 107 A New Space-Repetitio Code Based o Oe Bit Feedback Compared to Alamouti

More information

Sub-300 fs, 0.5 mj pulse at 1kHz from Ho:YLF amplifier and Kagome pulse compression

Sub-300 fs, 0.5 mj pulse at 1kHz from Ho:YLF amplifier and Kagome pulse compression Sub-300 fs, 0.5 mj pulse at 1kHz from Ho:YLF amplifier and Kagome pulse compression K. Murari 1,2,3, H. Cankaya 1,2, B. Debord 5, P. Li 1, G. Cirmi 1,2, G. M. Rossi 1,2, S. Fang 1,2, O. D. Mücke 1,2, P.

More information

A SELECTIVE POINTER FORWARDING STRATEGY FOR LOCATION TRACKING IN PERSONAL COMMUNICATION SYSTEMS

A SELECTIVE POINTER FORWARDING STRATEGY FOR LOCATION TRACKING IN PERSONAL COMMUNICATION SYSTEMS A SELETIVE POINTE FOWADING STATEGY FO LOATION TAKING IN PESONAL OUNIATION SYSTES Seo G. hag ad hae Y. Lee Departmet of Idustrial Egieerig, KAIST 373-, Kusug-Dog, Taejo, Korea, 305-70 cylee@heuristic.kaist.ac.kr

More information

AME50461 SERIES EMI FILTER HYBRID-HIGH RELIABILITY

AME50461 SERIES EMI FILTER HYBRID-HIGH RELIABILITY PD-94595A AME5046 SERIES EMI FILTER HYBRID-HIGH RELIABILITY Descriptio The AME Series of EMI filters have bee desiged to provide full compliace with the iput lie reflected ripple curret requiremet specified

More information

High Power and Energy Femtosecond Lasers

High Power and Energy Femtosecond Lasers High Power and Energy Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average powers. PHAROS features a mechanical and optical

More information

Universal Field, Impedance, and S-Matrix Statistics of Metallic Enclosures. MURI Review 2004

Universal Field, Impedance, and S-Matrix Statistics of Metallic Enclosures. MURI Review 2004 Uiversal Field, Impedace, ad S-Matrix Statistics of Metallic Eclosures Studets: Sameer Hemmady, X. Zheg, Faculty: E. Ott, T. M. Atose ad Steve M. Alage MURI Review 4 Project fuded by the USAF-MURI ad DURIP

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams The System-FE-1064nm is set to generate short shaped pulses with high extinction ratio at 1064.1 nm. It allows dynamic extinction ratio up to 55 db with user adjustable pulse duration, repetition rate

More information

5kW DIODE-PUMPED TEST AMPLIFIER

5kW DIODE-PUMPED TEST AMPLIFIER 5kW DIODE-PUMPED TEST AMPLIFIER SUMMARY?Gain - OK, suggest high pump efficiency?efficient extraction - OK, but more accurate data required?self-stabilisation - Yes, to a few % but not well matched to analysis

More information

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

BANDWIDTH AND GAIN ENHANCEMENT OF MULTIBAND FRACTAL ANTENNA BASED ON THE SIERPINSKI CARPET GEOMETRY

BANDWIDTH AND GAIN ENHANCEMENT OF MULTIBAND FRACTAL ANTENNA BASED ON THE SIERPINSKI CARPET GEOMETRY ISSN: 2229-6948(ONLINE) DOI: 10.21917/ijct.2013.0095 ICTACT JOURNAL ON COMMUNICATION TECHNOLOGY, MARCH 2013, VOLUME: 04, ISSUE: 01 BANDWIDTH AND GAIN ENHANCEMENT OF MULTIBAND FRACTAL ANTENNA BASED ON THE

More information

DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE

DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE 1 DESIGN OF COMPACT PULSED 4 MIRROR LASER WIRE SYSTEM FOR QUICK MEASUREMENT OF ELECTRON BEAM PROFILE PRESENTED BY- ARPIT RAWANKAR THE GRADUATE UNIVERSITY FOR ADVANCED STUDIES, HAYAMA 2 INDEX 1. Concept

More information

Overview of Commercially Available Femtosecond Lasers in Refractive Surgery

Overview of Commercially Available Femtosecond Lasers in Refractive Surgery Holger Lubatschowski Overview of Commercially Available Femtosecond Lasers in Refractive Surgery The author receives research funds from Ziemer Ophthalmic Systems Group Commercially Available Femtosecond

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Components. Magnetics. Capacitors. Power semiconductors. Core and copper losses Core materials

Components. Magnetics. Capacitors. Power semiconductors. Core and copper losses Core materials Compoets Magetics Core ad copper losses Core materials Capacitors Equivalet series resistace ad iductace Capacitor types Power semicoductors Diodes MOSFETs IGBTs Power Electroics Laboratory Uiversity of

More information

New generation Laser amplifier system for FEL applications at DESY.

New generation Laser amplifier system for FEL applications at DESY. New generation Laser amplifier system for FEL applications at DESY. Franz Tavella Helmholtz-Institut-Jena Merging advanced solid-state Laser technology with FEL sources Helmholtz-Institut-Jena DESY F.

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

Measurement of Equivalent Input Distortion AN 20

Measurement of Equivalent Input Distortion AN 20 Measuremet of Equivalet Iput Distortio AN 2 Applicatio Note to the R&D SYSTEM Traditioal measuremets of harmoic distortio performed o loudspeakers reveal ot oly the symptoms of the oliearities but also

More information

Drive Beam Photo-injector Option for the CTF3 Nominal Phase

Drive Beam Photo-injector Option for the CTF3 Nominal Phase CTF3 Review Drive Beam Photo-injector Option for the CTF3 Nominal Phase Motivation CTF3 Drive Beam Requirements CTF3 RF gun design The Laser (I. Ross / RAL) The Photocathode Cost estimate Possible schedule

More information

SPECTROSCOPY and. spectrometers

SPECTROSCOPY and. spectrometers Observatioal Astroomy SPECTROSCOPY ad spectrometers Kitchi, pp. 310-370 Chromey, pp. 362-415 28 March 2018 1 Spectroscopic methods Differet purposes require differet istrumets Mai spectroscopic methods:

More information

The Proposed MIT X-ray Laser Facility: Laser Seeding to Achieve the Transform Limit

The Proposed MIT X-ray Laser Facility: Laser Seeding to Achieve the Transform Limit MIT X-ray Laser Project The Proposed MIT X-ray Laser Facility: Laser Seeding to Achieve the Transform Limit 30 or more independent beamlines Fully coherent milli-joule pulses at khz rates Wavelength range

More information

THE ORION PHOTOINJECTOR: STATUS and RESULTS

THE ORION PHOTOINJECTOR: STATUS and RESULTS THE ORION PHOTOINJECTOR: STATUS and RESULTS Dennis T. Palmer SLAC / ARDB ICFA Sardinia 4 July 2002 1. Introduction 2. Beam Dynamics Simulations 3. Photoinjector 1. RF Gun 2. Solenoidal Magnet 3. Diagnostics

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto University Repositor Title High Repetition Rate Pulsed Power G Extreme Ultraviolet Light Source Author(s) Sakugawa, Takashi; Nagano, Kiyohiko Yoshihiko; Namihira, Takao; Akiyama, Hidenori

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Typical LED Characteristics

Typical LED Characteristics Typical LED Characteristics Characteristic Unit Value Light output 1 mw > 1 2 Peak wavelength 3 nm 255 nm to 28 nm 4 Viewing angle Degrees 11 5 Full width at half maximum 3 (@1 ma) nm 16 Forward voltage

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

LINEAR-PHASE FIR FILTERS: THE WINDOWING METHOD

LINEAR-PHASE FIR FILTERS: THE WINDOWING METHOD LINEAR-PHASE FIR FILTERS: THE WINDOWING ETHOD Prof. Siripog Potisuk FIR Filter Characteristics Completely specified by iput-output relatio: y[ ] b k0 x[ k] b k = filter coefficiets ad +1 = filter legth

More information

High Power Pulsed Laser Diodes 850-Series

High Power Pulsed Laser Diodes 850-Series High Power Pulsed Laser 85-Series Features Proven AlGaAs high reliability structure.9 W/A efficiency Excellent temperature stability Hermetic and custom designed package Applications Range finding Surveying

More information

Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities

Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities Plasma Efficiency and Losses for pulsed Xe Excimer DBDs at high Power Densities Mark Paravia, Michael Meisser, Wolfgang Heering GEC, Saratoga Springs 29,, Germany KIT University of the State of Baden-Württemberg

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

PCS-150 / PCI-200 High Speed Boxcar Modules

PCS-150 / PCI-200 High Speed Boxcar Modules Becker & Hickl GmbH Kolonnenstr. 29 10829 Berlin Tel. 030 / 787 56 32 Fax. 030 / 787 57 34 email: info@becker-hickl.de http://www.becker-hickl.de PCSAPP.DOC PCS-150 / PCI-200 High Speed Boxcar Modules

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Recent Progress on the 10PW laser Project at SIOM

Recent Progress on the 10PW laser Project at SIOM Recent Progress on the 10PW laser Project at SIOM Ruxin Li, Yuxin Leng, Xiaoyan Liang, and Zhizhan Xu State Key Laboratory of High Field Laser Physics Shanghai Institute of Optics and Fine Mechanics (SIOM),

More information

AME28461 SERIES EMI FILTER HYBRID-HIGH RELIABILITY

AME28461 SERIES EMI FILTER HYBRID-HIGH RELIABILITY PD-94597A AME28461 SERIES EMI FILTER HYBRID-HIGH RELIABILITY Descriptio The AME Series of EMI filters have bee desiged to provide full compliace with the iput lie reflected ripple curret requiremet specified

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS

COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS COMPRESSION OF TRANSMULTIPLEXED ACOUSTIC SIGNALS Mariusz Ziółko, Przemysław Sypka ad Bartosz Ziółko Departmet of Electroics, AGH Uiversity of Sciece ad Techology, al. Mickiewicza 3, 3-59 Kraków, Polad,

More information

Development of Ultrashort Pulsed VUV Laser and its Applications

Development of Ultrashort Pulsed VUV Laser and its Applications Development of Ultrashort Pulsed VUV Laser and its Applications Masahito Katto, Masanori Kaku 2, Atsushi Yokotani 2, Kenzo Miyazaki 3, Noriaki Miyanaga 4, and Shoichi Kubodera 2 Center for Collaborative

More information

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton Progress in ultrafast Cr:ZnSe Lasers Evgueni Slobodtchikov, Peter Moulton Topics Diode-pumped Cr:ZnSe femtosecond oscillator CPA Cr:ZnSe laser system with 1 GW output This work was supported by SBIR Phase

More information

GENERATE AND MEASURE STANDING SOUND WAVES IN KUNDT S TUBE.

GENERATE AND MEASURE STANDING SOUND WAVES IN KUNDT S TUBE. Acoustics Wavelegth ad speed of soud Speed of Soud i Air GENERATE AND MEASURE STANDING SOUND WAVES IN KUNDT S TUBE. Geerate stadig waves i Kudt s tube with both eds closed off. Measure the fudametal frequecy

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015

Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015 Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015 Chapter 9: Optical Parametric Amplifiers and Oscillators 9.8 Noncollinear optical parametric amplifier (NOPA) 9.9 Optical parametric chirped-pulse

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

FA Noncollinear Optical Parametric Amplifier

FA Noncollinear Optical Parametric Amplifier REPORT DOCUMENTATION PAGE Form Approved OMB No. 0704-0188 The public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center - Center Mission -

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION

INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION XIX IMEKO World Cogress Fudametal ad Applied Metrology September 6, 9, Lisbo, Portugal INCREASE OF STRAIN GAGE OUTPUT VOLTAGE SIGNALS ACCURACY USING VIRTUAL INSTRUMENT WITH HARMONIC EXCITATION Dalibor

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

Title of the Paper. Graphical user interface load flow solution of radial distribution network

Title of the Paper. Graphical user interface load flow solution of radial distribution network /Iteratioal Coferece Papers: 201718 S.No. Dept. Name of the Staff Desigati o Title of the Paper /Coferece Area Graphical user iterface load flow solutio of radial distributio etwork Dr.G.Ravidraath Prof&

More information

Green Laser Diode in TO56 Package Version 0.2 PLT5 520B. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device

Green Laser Diode in TO56 Package Version 0.2 PLT5 520B. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device 2007-05-23 Green Laser Diode in TO56 Package Version 0.2 Features Optical output power (continuous wave): 80 mw (T case = 25 C) Typical emission wavelength: 520 nm Efficient radiation source for cw and

More information

Laser systems for science instruments

Laser systems for science instruments European XFEL Users Meeting 27-20 January 2016, Main Auditorium (Bldg. 5), DESY, Hamburg Laser systems for science instruments M. J. Lederer WP78, European XFEL GmbH, Albert-Einstein-Ring 19, 22761 Hamburg,

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

Encode Decode Sample Quantize [ ] [ ]

Encode Decode Sample Quantize [ ] [ ] Referece Audio Sigal Processig I Shyh-Kag Jeg Departmet of Electrical Egieerig/ Graduate Istitute of Commuicatio Egieerig M. Bosi ad R. E. Goldberg, Itroductio to Digital Audio Codig ad Stadards, Kluwer

More information

THE OCCURRENCE OF TRANSIENT FIELDS AND ESD IN TYPICAL SELECTED AREAS

THE OCCURRENCE OF TRANSIENT FIELDS AND ESD IN TYPICAL SELECTED AREAS THE OCCURRENCE OF TRANSIENT FIELDS AND ESD IN TYPICAL SELECTED AREAS Stepha FREI Techical Uiversity Berli, Istitute of Electrical Power Egieerig Eisteiufer, 587 Berli, Germay e-mail: frei@ihs.ee.tu-berli.de

More information

Nd:Glass Laser Design for Laser ICF Fission Energy (LIFE)

Nd:Glass Laser Design for Laser ICF Fission Energy (LIFE) Nd:Glass Laser Design for Laser ICF Fission Energy (LIFE) 18th Topical Meeting on the Technology of Fusion (TOFE) San Francisco, CA September 28 October 2, 2008 John A. Caird Fusion Energy Systems and

More information

Precision Cold Ablation Material Processing using High-Power Picosecond Lasers

Precision Cold Ablation Material Processing using High-Power Picosecond Lasers Annual meeting Burgdorf Precision Cold Ablation Material Processing using High-Power Picosecond Lasers Dr. Kurt Weingarten kw@time-bandwidth.com 26 November 2009 Background of Time-Bandwidth Products First

More information

Sub-ps (and sub-micrometer) developments at ELETTRA

Sub-ps (and sub-micrometer) developments at ELETTRA Sub-ps (and sub-micrometer) developments at ELETTRA Mario Ferianis SINCROTRONE TRIESTE, Italy The ELETTRA laboratory ELETTRA is a 3 rd generation synchrotron light source in Trieste (I) since 1993 up to

More information

atom physics seminar ultra short laser pulses

atom physics seminar ultra short laser pulses atom physics seminar ultra short laser pulses creation and application ultra short laser pulses overview what? - why? - how? creation and optimisation typical experimental setup properties of existing

More information

TO DETERMINE THE NUMERICAL APERTURE OF A GIVEN OPTICAL FIBER. 2. Sunil Kumar 3. Varun Sharma 4. Jaswinder Singh

TO DETERMINE THE NUMERICAL APERTURE OF A GIVEN OPTICAL FIBER. 2. Sunil Kumar 3. Varun Sharma 4. Jaswinder Singh TO DETERMINE THE NUMERICAL APERTURE OF A GIVEN OPTICAL FIBER Submitted to: Mr. Rohit Verma Submitted By:. Rajesh Kumar. Suil Kumar 3. Varu Sharma 4. Jaswider Sigh INDRODUCTION TO AN OPTICAL FIBER Optical

More information