Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Size: px
Start display at page:

Download "Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography"

Transcription

1 Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11 June, 2008 Wailea Marriott Hotel, Hawaii Ver. 1.0 Acknowledgments This work was supported by the New Energy and Industrial Technology Development Organization -NEDO- Japan. 1

2 Requirement for HVM High EUV power >115 W EUV Stability Collector mirror lifetime Low CoG / CoO Light Source Concept CO2 laser + Sn microdroplet + Magnetic field plasma guide Sn target supply High power pulsed CO 2 Laser at 100kHz IF Magnetic field plasma guiding Sn collector LPP: Laser-Produced Plasma 2

3 Advantages LPP Laser Produced Plasma Scalable through laser repetition rate (>100kHz) and pulse energy (>200mJ) High repetition rate provides advantage for dose control Small source size (0.1mm) more efficient collection, reduces the complexity of optical systems designs Mass limited operation minimum Sn injection leads to no generation of debris Isolated Plasma Long distanced between hot plasma and chamber components Magnetic plasma guiding for full Sn recovery Normal incidence collector spectral filter, low obscuration, easier to cool 3

4 Wavelength dependence of EUV emission Conversion efficiency dependence on the laser intensity Target material : Sn plate 10.6um 1.064um intensity [a.u.] CE: 2.5% - 4.5% with CO2 laser Laser intensity 3x10 10 W/cm 2 *energy:30mj *Pulse width:11ns *Spot size: d=100um Target material : Sn wire wavelength [nm] CO2 Nd:YAG EUV spectra from Sn plasma Narrow in-band spectrum with CO2 laser 4

5 CE vs Nd:YAG and CO2 Lasers CE vs cavity depth Cross section of cavity EUV CE (%) CO 2 Nd:YAG Cavity depth (μm) Laser ablation CO 2 laser CE % experimentally confirmed mechanical 5

6 EUV CE and spectrum EUV spectrum Intensity (arb. units) CE 4% Cavity Planar Wavelength (nm) Plasma image (VIS) CE 2% EUV Pulse shape Intensity (arb. units) CE 4% Time (ns) Cavity(200um) Cavity(100um) Planar Planar Cavity100 Cavity200 CE 3% CE 2% CO 2 laser target 0.5 mm CE increased with cavity depth 6

7 Electron density profile Hot dense plasma Electron density n c X-ray emission Laser Laser plasma interaction region n c 2 ε0mω = 2 e (e / cm = λ ( μm) n c 2 3 ) 0 Distance 7

8 Pre-plasma optimization Single 2X10 11 W/cm2, 20ns pulse Dr.Sunahara Double pulse 1X10 9 W/cm2, 20ns pulse Sn 100um F=30,d= Te 60 n i (cm -3 ) ni Seff EUV PL Te < Z > T e (ev), < Z > n i (cm -3 ) ni OD=1 PL Seff EUV < Z > T e (ev), < Z > Position (μm) OD= Laser abs. fraction 46% 91% X-ray CE 48% 69% EUV CE 3.3% 7.2% Position (μm) 8 28/30

9 Theoretical Prediction Dr.Sunahara 10 Double 10ns (2D) Pre-pulse 1X10 8 W/cm 2 10ns(0.53m conversion efficiency (%) Double pulse 20ns (1D) Single CO2 irradiation 20ns(1D) Double 20ns (2D) Double 40ns (2D) Time delay :180ns In 2D simulation, 150m pre-formed plasma is initially set. Laser spot diameter: 800 m laser intensity (W/cm 2 ) 9 29/30

10 High power CO2 laser MOPA system Laser Power 13 kw Pulse Width 20 ns Repetition Rate 100 khz Beam quality : M2 1.1 Pulse energy stability : 2% (3s, 500 pulses) Laser System 60W 3 kw 13 kw Oscillator Wave length: 10.6um Rep. rate :100kHz Pulse width :20 ns (FWHM) Pre-Amplifier RF-excited CO2 laser Main-Amplifier RF-excited CO2 laser 100 W at I/F equivalent Laser beam profile 10

11 Average power increase in the last two years Now 08 May Laser power [kw] 06 Feb. 06 Oct. 06 Dec. 07 Feb. 07 Oct. 11

12 20 kw Short Pulse CO 2 laser MOPA system AMP1 RF-excited CO2 laser Pumping : 50 kw 20 kw (200mJ at 100kHz) Multi-line Oscillator Rep. rate :100kHz pulse width :20 ns (FWHM) AMP2 RF-excited CO2 laser Pumping power : 120 kw AMP3 RF-excited CO2 laser Pumping power : 120 kw Single beam, 20 kw CO 2 laser system in sight Power Limitation Damage of Optics Diamond window Filling Factor Compensation of beam diffraction and thermal lensing Saturation Broadband amplification 12

13 Magnetic field plasma beaming 1) Investigation of Tin ion flux in Real 3D-space 2) Optimization of Tin debris evacuation. magnet diameter = 1500mm Chamber diameter = 600mm magnet field flux (center) ~ 3.0T magnet field flux (plasma) ~ 2.0T 13

14 Magnetic field plasma beaming Superconducting magnet was installed for: 1) Investigation of Tin ion flux in Real large space. 2) Optimization of Tin debris evacuation. Visible image of Sn plasma flow in magnetic field Laser : CO2 laser, Target : Sn plate Without magnetic field Magnetic flux density : 2T 14

15 Results on symmetry axis with & w/o B-field Approx. 6mm40mm 2T 6mm 0 CO2 laser Witness plate Witness plate Sn plate 0T Tin ions are effectively confined and guided by magnetic field. 15

16 Ion flux with/without B-field 22.5deg CO2 laser 7.5deg Faraday Cup 16

17 Magnetic field plasma guiding Nanopowder Low Deposition No deposition 37.5 Dendolite Strong deposition Etching Erosion CO2 laser 22.5 Sn plate 52.5 No deposition 67.5 No deposition 17

18 Neutral particle generation with Nd:YAG and CO2 lasers Dr Furukawa 15ns laser irradiation on planar Sn target Full ionization during laser irradiation 18

19 Gigaphoton LPP Light Source - Sn Droplet - High power pulsed CO2 laser - Magnetic-field Plasma Guiding Sn supply Magnet Plasma IF CO2 laser Collector mirror Sn collector 19

20 EUV LPP light source roadmap ETS (Internal use only) SD (1 st Gen.) (proto/ integration possible) HVM(2 nd Gen.) (product) Timing 2009/1Q 2009/4Q 2011/1Q Power (Source to IF:34% (R=0.6, 4sr(0.64), T=0.9) 100W 140W 280W Drive laser 10kW 10kW 20kW CE 3.5% 4.0% 4.0% Target Tin droplet Tin droplet Mitigation Single magnet & ionization C1 Mirror Spec. 4sr 60 Bi-layer R>60% magnet & ionization TBD Heat Protected TBD Life 200Bpls TBD TBD Tool interface (I/F) No Yes Yes Duty >75% TBD TBD 20

21 Power roadmap Today to SD Non commercial system Commercial system Power at IF (W) W (HVM:2 nd generation) W (Today) 100W (ETS) 140W (SD:1 st generation) 07Q1 08Q1 09Q1 10Q1 11Q1 12Q1 140W will be available in 2010 & 280W in

22 Summary LPP technology is ready for HVM Further advance of component technology Laser power 13 kw obtained; 100 W in-band EUV at I/F equivalent. scalable to 20 kw. Optimized Sn target for high CE 4% (achieved) and 8%(predicted). Magnetic field plasma guiding of CO 2 laser produced Sn plasma. Sn neutral generation reduced by magnetic field. Sn plasma is guided by magnetic field. Basic technology for full Sn evacuation is established. Integrated operation Integrated system demonstration with advanced component technology and mirror lifetime evaluation. 22

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

Gigashot TM FT High Energy DPSS Laser

Gigashot TM FT High Energy DPSS Laser Gigashot TM FT High Energy DPSS Laser Northrop Grumman Cutting Edge Optronics (636) 916-4900 / Email: st-ceolaser-info@ngc.com 2015 Northrop Grumman Systems Corporation Gigashot TM FT Key Specifications

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams The System-FE-1064nm is set to generate short shaped pulses with high extinction ratio at 1064.1 nm. It allows dynamic extinction ratio up to 55 db with user adjustable pulse duration, repetition rate

More information

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Key components development progress updates of the 25W high power LPP-EUV light source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa

More information

5kW DIODE-PUMPED TEST AMPLIFIER

5kW DIODE-PUMPED TEST AMPLIFIER 5kW DIODE-PUMPED TEST AMPLIFIER SUMMARY?Gain - OK, suggest high pump efficiency?efficient extraction - OK, but more accurate data required?self-stabilisation - Yes, to a few % but not well matched to analysis

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser High Average Power, High Repetition Rate Side-Pumped Nd:YVO Slab Laser Kevin J. Snell and Dicky Lee Q-Peak Incorporated 135 South Rd., Bedford, MA 173 (71) 75-9535 FAX (71) 75-97 e-mail: ksnell@qpeak.com,

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton

Progress in ultrafast Cr:ZnSe Lasers. Evgueni Slobodtchikov, Peter Moulton Progress in ultrafast Cr:ZnSe Lasers Evgueni Slobodtchikov, Peter Moulton Topics Diode-pumped Cr:ZnSe femtosecond oscillator CPA Cr:ZnSe laser system with 1 GW output This work was supported by SBIR Phase

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

Single frequency MOPA system with near diffraction limited beam

Single frequency MOPA system with near diffraction limited beam Single frequency MOPA system with near diffraction limited beam quality D. Chuchumishev, A. Gaydardzhiev, A. Trifonov, I. Buchvarov Abstract Near diffraction limited pulses of a single-frequency and passively

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Shun-ichi Matsushita*, * 2, Taizo Miyato*, * 2, Hiroshi Hashimoto*, * 2, Eisuke Otani* 2, Tatsuji Uchino* 2, Akira Fujisaki*,

More information

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber

Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Development of High-peak Power Yb-doped Fiber Laser in Large Core Fiber Institute of Laser Engineering Osaka University Hidetsugu Yoshida Koji Tsubakimoto Hisanori Fujita Masahiro Nakatsuka Noriaki Miyanaga

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models PGx1 PGx3 PGx11 PT2 Transform Limited Broadly Tunable Picosecond OPA optical parametric devices employ advanced design concepts in order to produce broadly tunable picosecond pulses with nearly Fourier-transform

More information

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA

STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA STUDIES OF INTERACTION OF PARTIALLY COHERENT LASER RADIATION WITH PLASMA Alexander N. Starodub Deputy Director N.G.Basov Institute of Quantum Radiophysics of P.N.Lebedev Physical Institute of the RAS Leninsky

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

High Power Laser Models

High Power Laser Models e-mail info@aotlasers.com Technical Note (15) High Power Laser Models Over the past ~ 12 months (26/7) AOT undertook a programme of work directed at further improving it s range of short pulse lasers.

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Grating-waveguide structures and their applications in high-power laser systems

Grating-waveguide structures and their applications in high-power laser systems Grating-waveguide structures and their applications in high-power laser systems Marwan Abdou Ahmed*, Martin Rumpel, Tom Dietrich, Stefan Piehler, Benjamin Dannecker, Michael Eckerle, and Thomas Graf Institut

More information

Midterm #1 Prep. Revision: 2018/01/20. Professor M. Csele, Niagara College

Midterm #1 Prep. Revision: 2018/01/20. Professor M. Csele, Niagara College Midterm #1 Prep Revision: 2018/01/20 Professor M. Csele, Niagara College Portions of this presentation are Copyright John Wiley & Sons, 2004 Review Material Safety Finding MPE for a laser Calculating OD

More information

THz Pump Beam for LCLS. Henrik Loos. LCLS Hard X-Ray Upgrade Workshop July 29-31, 2009

THz Pump Beam for LCLS. Henrik Loos. LCLS Hard X-Ray Upgrade Workshop July 29-31, 2009 Beam for LCLS Henrik Loos Workshop July 29-31, 29 1 1 Henrik Loos Overview Coherent Radiation Sources Timing THz Source Performance 2 2 Henrik Loos LCLS Layout 6 MeV 135 MeV 25 MeV 4.3 GeV 13.6 GeV σ z.83

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Studies on Extreme Ultraviolet Sources

Studies on Extreme Ultraviolet Sources Studies o Extreme Ultraviolet Sources R. Lebert 1, L. Aschke 3, K. Bergma 1, S. Düsterer 3, K. Gäbel, D. Hoffma 1, P. Loose 1, W. Neff 1, P. Nickles 2, O. Rosier 1, D. Rudolph 4, H. Schwoerer 3, H. Stiel

More information

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania

Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Romania and High Power Lasers Towards Extreme Light Infrastructure in Romania Razvan Dabu, Daniel Ursescu INFLPR, Magurele, Romania Contents GiWALAS laser facility TEWALAS laser facility CETAL project

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

High-Power, Passively Q-switched Microlaser - Power Amplifier System

High-Power, Passively Q-switched Microlaser - Power Amplifier System High-Power, Passively Q-switched Microlaser - Power Amplifier System Yelena Isyanova Q-Peak, Inc.,135 South Road, Bedford, MA 01730 isyanova@qpeak.com Jeff G. Manni JGM Associates, 6 New England Executive

More information

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to Nd: YAG Lasers Dope Neodynmium (Nd) into material (~1%) Most common Yttrium Aluminum Garnet - YAG: Y 3 Al 5 O 12 Hard brittle but good heat flow for cooling Next common is Yttrium Lithium Fluoride: YLF

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Measurements of Mode Converted ICRF Waves with Phase Contrast Imaging in Alcator C-Mod

Measurements of Mode Converted ICRF Waves with Phase Contrast Imaging in Alcator C-Mod Measurements of Mode Converted ICRF Waves with Phase Contrast Imaging in Alcator C-Mod N. Tsujii, M. Porkolab, E.M. Edlund, L. Lin, Y. Lin, J.C. Wright, S.J. Wukitch MIT Plasma Science and Fusion Center

More information

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University

KU-FEL Facility. Status Report. Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL Facility Status Report Konstantin Torgasin PhD Student Graduate School of Energy Science Kyoto University KU-FEL(Kyoto University FEL) A mid-infrared free electron laser (MIR-FEL) facility KU-FEL

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

Development of Ultrashort Pulsed VUV Laser and its Applications

Development of Ultrashort Pulsed VUV Laser and its Applications Development of Ultrashort Pulsed VUV Laser and its Applications Masahito Katto, Masanori Kaku 2, Atsushi Yokotani 2, Kenzo Miyazaki 3, Noriaki Miyanaga 4, and Shoichi Kubodera 2 Center for Collaborative

More information

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser P. Castro for the TTF-FEL team 100 nm 1 Å FEL radiation TESLA Test Facility at DESY

More information

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers Faming Xu, Chris Briggs, Jay Doster, Ryan Feeler and Edward Stephens Northrop Grumman Cutting Edge Optronics, 20 Point West Blvd,

More information

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width Ryo Kawahara *1, Hiroshi Hashimoto *1, Jeffrey W. Nicholson *2, Eisuke Otani *1,

More information

3550 Aberdeen Ave SE, Kirtland AFB, NM 87117, USA ABSTRACT 1. INTRODUCTION

3550 Aberdeen Ave SE, Kirtland AFB, NM 87117, USA ABSTRACT 1. INTRODUCTION Beam Combination of Multiple Vertical External Cavity Surface Emitting Lasers via Volume Bragg Gratings Chunte A. Lu* a, William P. Roach a, Genesh Balakrishnan b, Alexander R. Albrecht b, Jerome V. Moloney

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Continuum White Light Generation. WhiteLase: High Power Ultrabroadband

Continuum White Light Generation. WhiteLase: High Power Ultrabroadband Continuum White Light Generation WhiteLase: High Power Ultrabroadband Light Sources Technology Ultrafast Pulses + Fiber Laser + Non-linear PCF = Spectral broadening from 400nm to 2500nm Ultrafast Fiber

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Dust Measurements With The DIII-D Thomson system

Dust Measurements With The DIII-D Thomson system Dust Measurements With The DIII-D Thomson system The DIII-D Thomson scattering system, consisting of eight ND:YAG lasers and 44 polychromator detection boxes, has recently been used to observe the existence

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

1 Introduction. Review Article

1 Introduction. Review Article Adv. Opt. echn. 215; 4(4): 297 39 Review Article Hakaru Mizoguchi*, Hiroaki Nakarai, amotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji, Hiroshi anaka, Yukio Watanabe, sukasa Hori, akeshi Kodama, Yutaka

More information

GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR

GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR June 017 Gooch & Housego NOVEL Optical components for the IR Acousto-Optic components for:- µm < λ < 4µm Novel Optical Components Slide ACOUSTO OPTICS

More information

pcvd diamond beam position monitors for PETRA III

pcvd diamond beam position monitors for PETRA III pcvd diamond beam position monitors for PETRA III Eckhard Wörner Diamond Materials GmbH Tullastraße 72, 79108 Freiburg, Germany CARAT workshop 13-15.12.09 1/39 Outline Some news about Diamond Materials

More information

Micromachining with tailored Nanosecond Pulses

Micromachining with tailored Nanosecond Pulses Micromachining with tailored Nanosecond Pulses Hans Herfurth a, Rahul Patwa a, Tim Lauterborn a, Stefan Heinemann a, Henrikki Pantsar b a )Fraunhofer USA, Center for Laser Technology (CLT), 46025 Port

More information

Optical Gain Experiment Manual

Optical Gain Experiment Manual Optical Gain Experiment Manual Table of Contents Purpose 1 Scope 1 1. Background Theory 1 1.1 Absorption, Spontaneous Emission and Stimulated Emission... 2 1.2 Direct and Indirect Semiconductors... 3 1.3

More information

High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser

High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser High peak power pulsed single-mode linearly polarized LMA fiber amplifier and Q-switch laser V. Khitrov*, B. Samson, D. Machewirth, D. Yan, K. Tankala, A. Held Nufern, 7 Airport Park Road, East Granby,

More information

Fiber lasers and their advanced optical technologies of Fujikura

Fiber lasers and their advanced optical technologies of Fujikura Fiber lasers and their advanced optical technologies of Fujikura Kuniharu Himeno 1 Fiber lasers have attracted much attention in recent years. Fujikura has compiled all of the optical technologies required

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

Progress on High Power Single Frequency Fiber Amplifiers at 1mm, 1.5mm and 2mm

Progress on High Power Single Frequency Fiber Amplifiers at 1mm, 1.5mm and 2mm Nufern, East Granby, CT, USA Progress on High Power Single Frequency Fiber Amplifiers at 1mm, 1.5mm and 2mm www.nufern.com Examples of Single Frequency Platforms at 1mm and 1.5mm and Applications 2 Back-reflection

More information

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Introduction of Products Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Hakaru Mizoguchi Takashi Saito Noritoshi Itou Taku Yamazaki

More information

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics High Power Thin Disk Lasers Dr. Adolf Giesen German Aerospace Center Folie 1 Research Topics - Laser sources and nonlinear optics Speiser Beam control and optical diagnostics Riede Atm. propagation and

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

System Upgrades to the DIII-D Facility

System Upgrades to the DIII-D Facility System Upgrades to the DIII-D Facility A.G. Kellman for the DIII-D Team 24th Symposium on Fusion Technology Warsaw, Poland September 11-15, 2006 Upgrades Performed During the Long Torus Opening (LTOA)

More information

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Introduction Fundamentals of laser Types of lasers Semiconductor lasers ECE 5368 Introduction Fundamentals of laser Types of lasers Semiconductor lasers Introduction Fundamentals of laser Types of lasers Semiconductor lasers How many types of lasers? Many many depending on

More information

Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency. Applications

Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency. Applications WP Ultrafast Lasers with Radial and Azimuthal Polarizations for Highefficiency Micro-machining Applications Beneficiaries Call Topic Objective ICT-2013.3.2 Photonics iii) Laser for Industrial processing

More information

High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals

High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals Lasers in Manufacturing Conference 2017 High energy and dual-pulse MOPA laser for selective recovery of non-ferrous metals Abstract Youcef Lebour *, Jordi Juliachs, Carles Oriach Monocrom SL, Vilanoveta

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

MEC Laser Systems. Bill White LCLS Laser Group Leader April 13, Bill White. MEC Laser Systems. MEC Workshop.

MEC Laser Systems. Bill White LCLS Laser Group Leader April 13, Bill White. MEC Laser Systems. MEC Workshop. Bill White LCLS Laser Group Leader April 13, 2009 1 1 Bill White Outline Laser Requirements / Wish List Energy vs. Rep Rate Trade-offs Baseline ns laser fs laser Layout in Hutch 6 Other possibilities Helen

More information

Laser Induced Damage Threshold of Optical Coatings

Laser Induced Damage Threshold of Optical Coatings White Paper Laser Induced Damage Threshold of Optical Coatings An IDEX Optics & Photonics White Paper Ronian Siew, PhD Craig Hanson Turan Erdogan, PhD INTRODUCTION Optical components are used in many applications

More information

High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches

High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches David Schleuning *, Rajiv Pathak, Calvin Luong, Eli Weiss, and Tom Hasenberg * Coherent Inc., 51 Patrick Henry Drive, Santa Clara, CA 9554

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Modal and Thermal Characteristics of 670nm VCSELs

Modal and Thermal Characteristics of 670nm VCSELs Modal and Thermal Characteristics of 670nm VCSELs Klein Johnson Mary Hibbs-Brenner Matt Dummer Vixar Photonics West 09 Paper: Opto: 7229-09 January 28, 2009 Overview Applications of red VCSELs Device performance

More information