Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Size: px
Start display at page:

Download "Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin"

Transcription

1 Progress towards Actinic Patterned Mask Inspection Oleg Khodykin

2 Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice of architecture Current status Radiance Short bursts Long term at 100% DC Collector lifetime test setup Major sources of collector degradation Prototype current status Collector lifetime tests Xe recirculation Conclusions 2 KLA-Tencor Confidential - Internal Use Only

3 Status of EUV Actinic Reticle Inspection program

4 Latest Reticle Inspection Solution EUV patterned masks and blanks Optical; Complex OPC, Quartz etch reticles For 10nm Generation Teron 630 Practical sensitivity limited by edge roughness Industry proven sensitivity for advanced optical and EUV Mask applications 4 KLA-Tencor Confidential - Internal Use Only

5 EUV Reticle Defect and Inspection Challenges Pellicle transmission effects will narrow the wavelength choices Reflective Multilayer Coating Reticle Absorber pattern Pellicle Single pass spectral transmission Only EUV has enough transmission 5 KLA-Tencor Confidential - Internal Use Only

6 EUV Actinic Patterned Mask Inspection Tool EUV actinic inspection is a must for EUVL High Volume Manufacturing due to: Phase defects ML Blank defects Contamination defect risks Throughput Through-pellicle inspection 710 Program The world s only EUV Actinic Patterned Mask Inspection (APMI) System 6 KLA-Tencor Confidential - Internal Use Only

7 710 Program Summary System Architecture defined EUV-specific large format image sensor designed and tested Optics concepts provide large field and high transmission Xe LPP source prototype shows required lifetime Ultra-clean vacuum prototypes tested Pilot production facility ready for build-out Ongoing new component/subsystem test Program ready for full-scale development 7 KLA-Tencor Confidential - Internal Use Only

8 Xe based LPP source as bright and reliable solution

9 Actinic Patterned Mask Inspection - EUV source requirements Property/parameter Target Value Units Wavelength 13.5 nm, centroid Pulse repetition rate > 10 khz Pulse duration > 10 ns, FWHM Duty Cycle > 95% - minimum burst > 15 sec Etendue 1.0 x 10-2 mm 2 -sr Radiance at I/F > 20 W/mm 2 -sr (Averaged over etendue, lifetime) 2.2% band, pre-spf Footprint (m) 2.8W x 2.8D x 2.8H Availability > 95% Cost of Service (annual) < 10% Relative to CoGs / Price Cost of Operation (annual) < 5% 9 KLA-Tencor Confidential - Internal Use Only

10 Options method of plasma generation Method DPP LPP Advantages Simple Clean Small plasma size Scaling though repetition rate Disadvantages Erosion of near-plasma elements Large plasma volume Long plasma Low repetition rate due to pulse power limitations 10 KLA-Tencor Confidential - Internal Use Only

11 Options choice of target material Target Xe Sn Advantages Noble gas High CE (>3%) No deposition Disadvantages Can be pumped by off-shell turbo pumps Requires closed loop circulation due to high cost of Xe (10-30$/liter) Deposition Requires mass limited targets Numerous issues with stable and reliable droplet generation Reacts with Ru to form alloys 11 KLA-Tencor Confidential - Internal Use Only

12 Cryogenic rotating drum LPP source - Schematic of operation Dewar access tube Ice-covered drum is rotated and translated, presenting smooth ice surface for each laser pulse Drive / Vacuum Seal IR laser window Cryo drum (Cu) Drum housing (Al) Wiper (SS) LN 2 IR laser optics IR Laser (YAG) Frozen xenon layer Vacuum chamber 12 KLA-Tencor Confidential - Internal Use Only

13 Conversion Efficiency EUV source performance: short bursts 0.80% 0.70% 50% Laser Energy 100% laser Energy 0.60% 0.50% 0.40% 0.30% 0.20% 0.10% 0.00% Lens offset, mm 13 KLA-Tencor Confidential - Internal Use Only

14 EUV plasma image x vertical profile HW at 1/e 2 =59 mm x horizontal profile HW at 1/e 2 =78 mm KLA-Tencor Confidential - Internal Use Only

15 CE % Steady state EUV output E = 80 mj, DC = 93 %, Free run Time [sec] 15 KLA-Tencor Confidential - Internal Use Only

16 Long term: EUV signal, size, and 5kHz EUV signal (nvs)/brightness (W/mm 2 *sr) nVs 93.7um 9.25W/mm 2 sr Average Signal=30.8nVs (σ=1.7nvs) Average size=83.9 um (σ=4.8um) Average brightness=9.8w/mm 2 sr (σ=0.63w/mm 2 sr) ) Time(hours) Image Size (HW 1/e 2 ) EUV signal Brightness 29.3nVs 82.7um 9.47W/mm 2 sr Image size (mm) 16 KLA-Tencor Confidential - Internal Use Only

17 Primary collector damage mechanism Energetic ions/neutrals intrinsic to plasma formation Sputtering of MLM by direct energetic ions has been recorded with >200nm/hours erosions rates at 26cm from plasma Sputtering of plasma facing components and chamber walls (too close in LPP 1 setup) & re-deposition of sputtered material on collector Collector to plasma distance of 0.5-1m is required due to limit of clean pumping solutions. Concept of shower head collector with Ar flow has been successfully tested 17 KLA-Tencor Confidential - Internal Use Only

18 EUV Source Collector Lifetime (CLT) Setup Drum Target LN2 Laser Focusing Optics Imaging Tool TMP Power Tool Laser 18 KLA-Tencor Confidential - Internal Use Only

19 CLT setup details Support collector cm from plasma Advanced protection of collector and laser optic with distributed buffer gas flow Collector 40cm or 70cm Flexible debris mitigation capabilities EUV Source Plasma Laser focusing optics Plasma wall distance is > 0.5 m Up to 8 turbo-pumps can be installed with total throughput of 16slm IF interface ready Base pressure is at 2*10-8 torr 19 KLA-Tencor Confidential - Internal Use Only

20 Prototype current status 21-2B52 3nm-Ru-IOF B Ru-LBL B53 3nm-TiO B64 3nm-ZrO2 Duration -120 hours collector EUV Brightness (W/(mm 2 sr)) Time (Hours) Effective duty cycle - 80% (limit with current target %, manual LN2 and Xe bottles replacement) Radiance is at 8W/mm 2 in free run mode 0.55 NO reflectivity degradation (within 0.5% accuracy) IF 20 KLA-Tencor Confidential - Internal Use Only

21 Xe Recycling Development Options Parameter or Spec Vendor A Vendor B Vendor C General Technology Distillation and adsorption Distillation Multistep adsorption (room temperature, PSA/VSA) Main products Rare Gases, Equipment Rare Gases Technical Gases Critical specification parameters Recirculation efficiency >99% 95% 90% (RE>98%) Xenon Purity (99.999%) % >99.999% at >99.9% 95%RE Throughput Demonstrated Feasible Up to half of required per system Contaminations (spec) Demonstrated Feasible TBD Cost of Recirculation (<$0.6/liter) <$0.5/liter >$1.5/liter TBD Footprint <10m m 2 10m 2 Height <3m 4.5m 2m 21 KLA-Tencor Confidential - Internal Use Only

22 Xe recycle unit development: automatic control 22 KLA-Tencor Confidential - Internal Use Only

23 Conclusions Required radiance has been demonstrated at 10kHz in steady state Further radiance scaling is possible with faster drum (demonstrated) and repetition rate. Major collector degradation mechanisms have been fully characterized Effective debris mitigation strategies have been developed and tested Full week of operation at 5kHz and 80%DC have been completed with NO collector reflectivity degradation (<0.5%) -> collector lifetime at 10kHz is more than 2000hours. Efficient Xe recirculation system has been developed with >99% capture rate Xe and >99.999% Xe purity, which enable cost effective source solution. 23 KLA-Tencor Confidential - Internal Use Only

24 Thank You

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Igor V. Fomenkov, Richard M. Ness, Ian R. Oliver, Stephan T. Melnychuk, Oleh V. Khodykin, Norbert R. Böwering, Curtis L.

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process

Product Presentation. BraggStar TM Industrial-LN (line narrowed) Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process Product Presentation Breakthrough in Interferometric (IF) Fiber Bragg Grating (FBG) Writing Process BraggStar TM Industrial-LN (line narrowed) Heavy Duty Performance 5 mm Temporal Coherence Length TuiLaser

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

NL300 series. Compact Flash-Lamp Pumped Q-switched Nd:YAG Lasers FEATURES APPLICATIONS NANOSECOND LASERS

NL300 series. Compact Flash-Lamp Pumped Q-switched Nd:YAG Lasers FEATURES APPLICATIONS NANOSECOND LASERS NL200 NL210 NL230 NL300 NL740 electro-optically Q-switched nanosecond Nd:YAG lasers produce high energy pulses with 3 6 ns duration. Pulse repetition rate can be selected in range of 5 20 Hz. NL30 HT models

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

High Power and Energy Femtosecond Lasers

High Power and Energy Femtosecond Lasers High Power and Energy Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average powers. PHAROS features a mechanical and optical

More information

Alameda Applied Sciences Corporation

Alameda Applied Sciences Corporation Alameda Applied Sciences Corporation Coaxial Energetic Deposition (CED TM ) of superconducting thin films of Nb for RF cavities* Mahadevan Krishnan, Andrew Gerhan, Kristi Wilson, Jason Wright, Brian Bures

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

APRAD SOR Excimer group -Progress Report 2011-

APRAD SOR Excimer group -Progress Report 2011- APRAD SOR Excimer group -Progress Report 011- The DPP EUV source activity During 011 the work on the DPP (Discharge Produced Plasma) source of Extreme Ultraviolet (EUV) radiation has been devoted to a

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

High-Power Femtosecond Lasers

High-Power Femtosecond Lasers High-Power Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average power. PHAROS features a mechanical and optical design optimized

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

event physics experiments

event physics experiments Comparison between large area PMTs at cryogenic temperature for neutrino and rare Andrea Falcone University of Pavia INFN Pavia event physics experiments Rare event physics experiment Various detectors

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Features. Applications. Optional Features

Features. Applications. Optional Features Features Compact, Rugged Design TEM Beam with M 2 < 1.2 Pulse Rates from Single Shot to 15 khz IR, Green, UV, and Deep UV Wavelengths Available RS232 Computer Control Patented Harmonic Generation Technology

More information

Evaluation of high power laser diodes for space applications: effects of the gaseous environment

Evaluation of high power laser diodes for space applications: effects of the gaseous environment Evaluation of high power laser diodes for space applications: effects of the gaseous environment Jorge Piris, E. M. Murphy, B. Sarti European Space Agency, Optoelectronics section, ESTEC. M. Levi, G. Klumel,

More information

Ion beam etch and deposition systems

Ion beam etch and deposition systems Ion beam etch and deposition systems The Business of Science Ion beam systems Ion beam technology offers unique abilities in etch and deposition Oxford Instruments offers a single tool, allowing the flexibility

More information

Status of MOLI development MOLI (Multi-footprint Observation Lidar and Imager)

Status of MOLI development MOLI (Multi-footprint Observation Lidar and Imager) Status of MOLI development MOLI (Multi-footprint Observation Lidar and Imager) Tadashi IMAI, Daisuke SAKAIZAWA, Jumpei MUROOKA and Toshiyoshi KIMURA JAXA 1 Outline of This Presentation 1. Overview of MOLI

More information

11Beamage-3. CMOS Beam Profiling Cameras

11Beamage-3. CMOS Beam Profiling Cameras 11Beamage-3 CMOS Beam Profiling Cameras Key Features USB 3.0 FOR THE FASTEST TRANSFER RATES Up to 10X faster than regular USB 2.0 connections (also USB 2.0 compatible) HIGH RESOLUTION 2.2 MPixels resolution

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools Rainer Lebert 1, Christoph Phiesel 1, Thomas Mißalla 1, Christian Piel 1, Alexander

More information

Development of Under-Sodium Inspection Technique Using Ultrasonic Waveguide Sensor. FR13 4 ~ 7 Mar Paris, France

Development of Under-Sodium Inspection Technique Using Ultrasonic Waveguide Sensor. FR13 4 ~ 7 Mar Paris, France Development of Under-Sodium Inspection Technique Using Ultrasonic Waveguide Sensor FR13 4 ~ 7 Mar. 2013 Paris, France Young-Sang Joo, J.-H. Bae, C-G. Park and J.-B. Kim 1 Outline Under-Sodium Viewing (USV)

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

CU-LASP Test Facilities! and Instrument Calibration Capabilities"

CU-LASP Test Facilities! and Instrument Calibration Capabilities CU-LASP Test Facilities! and Instrument Calibration Capabilities" Ginger Drake Calibration Group Manager 303-492-5899 Ginger.Drake@lasp.colorado.edu Thermal Vacuum Test Facilities" 2 Multiple Optical Beam

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Measurements of Infrared Sources with the Missile Defense Transfer Radiometer

Measurements of Infrared Sources with the Missile Defense Transfer Radiometer Measurements of Infrared Sources with the Missile Defense Transfer Radiometer Simon G. Kaplan #, Solomon I. Woods #, Adriaan C. Carter, and Timothy M. Jung * # National Institute of Standards and Technology

More information

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Towards a fully integrated optical gyroscope using whispering gallery modes resonators Towards a fully integrated optical gyroscope using whispering gallery modes resonators T. Amrane 1, J.-B. Jager 2, T. Jager 1, V. Calvo 2, J.-M. Leger 1 1 CEA, LETI, Grenoble, France. 2 CEA, INAC-SP2M

More information

Information & Instructions

Information & Instructions KEY FEATURES 1. USB 3.0 For the Fastest Transfer Rates Up to 10X faster than regular USB 2.0 connections (also USB 2.0 compatible) 2. High Resolution 4.2 MegaPixels resolution gives accurate profile measurements

More information

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year

Power. Warranty. 30 <1.5 <3% Near TEM ~4.0 one year. 50 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Created by Advanced Energy Industries, Inc., Fort Collins, CO Abstract Conventional applications for remote plasma sources

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

Evaluation of Confocal Microscopy. for Measurement of the Roughness of Deuterium Ice. Ryan Menezes. Webster Schroeder High School.

Evaluation of Confocal Microscopy. for Measurement of the Roughness of Deuterium Ice. Ryan Menezes. Webster Schroeder High School. Evaluation of Confocal Microscopy for Measurement of the Roughness of Deuterium Ice Webster Schroeder High School Webster, NY Advisor: Dr. David Harding Senior Scientist Laboratory for Laser Energetics

More information

Aurora II Integra OPO Integrated Nd:YAG Pumped Type II BBO OPO

Aurora II Integra OPO Integrated Nd:YAG Pumped Type II BBO OPO L i t r o n T o t a l L a s e r C a p a b i l i t y Aurora II Integra OPO Integrated Nd:YAG Pumped Type II BBO OPO The Litron Aurora II Integra is an innovative, fully motorised, type II BBO OPO and Nd:YAG

More information

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year

Operating longitudinal mode Several Polarization ratio > 100:1. Power. Warranty. 30 <1.5 <5% Near TEM ~4.0 one year DL CW Blue Violet Laser, 405nm 405 nm Operating longitudinal mode Several Applications: DNA Sequencing Spectrum analysis Optical Instrument Flow Cytometry Interference Measurements Laser lighting show

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser

880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser 880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser The goal of this lab is to give you experience aligning a laser and getting it to lase more-or-less from scratch. There is no write-up

More information

Multilayer Collector Optics for Water Window Microscopy

Multilayer Collector Optics for Water Window Microscopy Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian

More information

BEAMAGE-3.0 KEY FEATURES BEAM DIAGNOSTICS AVAILABLE MODELS MAIN FUNCTIONS SEE ALSO ACCESSORIES. CMOS Beam Profiling Cameras

BEAMAGE-3.0 KEY FEATURES BEAM DIAGNOSTICS AVAILABLE MODELS MAIN FUNCTIONS SEE ALSO ACCESSORIES. CMOS Beam Profiling Cameras BEAM DIAGNOSTICS BEAM DIAGNOSTICS SPECIAL PRODUCTS OEM DETECTORS THZ DETECTORS PHOTO DETECTORS HIGH POWER DETECTORS POWER DETECTORS ENERGY DETECTORS MONITORS CMOS Beam Profiling Cameras AVAILABLE MODELS

More information

Status of Low-Background Infrared Calibration Facility at NIST

Status of Low-Background Infrared Calibration Facility at NIST Status of Low-Background Infrared Calibration Facility at NIST Simon G. Kaplan #, Solomon I. Woods #, Julia Scherschligt #, Joseph P. Rice #, Adriaan C. Carter *, and Timothy M. Jung * # National Institute

More information

Durable Optical Coatings for Robust Performance in Harsh Environments

Durable Optical Coatings for Robust Performance in Harsh Environments AUVSI s XPONENTIAL 2017-FREDELL Durable Optical Coatings for Robust Performance in Harsh Environments Markus A. Fredell,* Nicholas D. Castine, William Cote, Ian Barrett, Sheetal Chanda, Thomas D. Rahmlow,

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information