PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source

Size: px
Start display at page:

Download "PROCEEDINGS OF SPIE. Key components development progress updates of the 250W high power LPP-EUV light source"

Transcription

1 PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Key components development progress updates of the 25W high power LPP-EUV light source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa Hori, Takeshi Okamoto, Hiroshi Tanaka, et al.

2 Key Components Development Progress Updates of the 25W High Power LPP-EUV Light Source Takayuki Yabu, Yasufumi Kawasuji, Tsukasa Hori, Takeshi Okamoto, Hiroshi Tanaka, Kenichi Miyao, Takuya Ishii, Yukio Watanabe, Tatsuya Yanagida, Yutaka Shiraishi, Tamotsu Abe, Takeshi Kodama, Hiroaki Nakarai, Taku Yamazaki, Noritoshi Itou, Takashi Saito and Hakaru Mizoguchi Gigaphoton Inc., Shinomiya, Hiratsuka-shi, Kanagawa, , Japan ABSTRACT Gigaphoton Inc. is developing a CO 2 -Sn-LPP EUV light source based on unique and original technologies including a high power CO 2 laser with 15 nanosecond pulse duration, a solid-state pre-pulse laser with 1 picosecond pulse duration, a highly stabilized droplet generator, a precise laser-droplet shooting control system and a debris mitigation system using a magnetic field. In this paper, an update of the development progress of our 25W CO 2 -Sn-LPP EUV light source and of the key components is presented. Keywords: EUV light source, EUV lithography, Laser produced plasma, Tin, CO 2 laser, Droplet generator, Collector mirror, Debris mitigation 1. INTRODUCTION The extreme ultraviolet (EUV) lithography is the most promising technology for a production of next generation semiconductor devices 1,2). The dimensions of a transistor get progressively smaller each year to enable higher speed, lower power consumption and larger memory capacity. The current process of semiconductor lithography uses the 193nm wavelength produced by Argon Fluoride (ArF) excimer laser. The latest semiconductor devices are produced using techniques of resolution enhancement such as phase shift illumination, source mask optimization, and optical proximity correction and immersion lithography. The multi patterning technology (double, triple and quadruple patterning) extends the process of shrinking the device dimensions further. Semiconductor devices with node size of 14nm are already in mass production. However, the multi patterning leads to higher production costs due to a necessity for many lithographic and etching processes per wafer. The shrinkage of device size using excimer laser sources and resolution enhancement techniques is therefore approaching fundamental and economic limitations. EUV lithography uses 13.5nm wavelength of EUV light that is >1x shorter than that of ArF excimer laser. This enables the production of devices with 7nm and 5nm feature sizes without a need for multi patterning technologies. Therefore the next generation of highly integrated semiconductor devices can be produced at lower cost and EUV lithography equipment and EUV lithography processes are being developed for this reason. Already, several tens of EUV light sources and EUV lithography tools were shipped and installed in advanced semiconductor factories worldwide. The efforts aimed at productivity and yield improvements are under way. However, the maximum power of EUV sources currently available on the installed machines is around 1W, which is still insufficient to support high-volume-manufacturing (HVM). 25W is required for the mass production of next generation 7nm node size devices and 5W is required for 5nm ones. Gigaphoton has been developing the laser-produced-plasma EUV light source since ). The source produces 13.5nm wavelength EUV light from the tin plasma, which is generated by irradiating a tin droplet with a high power, pulsed CO 2 laser. The combination of tin and 1.6um wavelength CO 2 laser is a most effective method to generate EUV light for a number of reasons 8). Recently we found that an irradiation of the target, prior to CO 2 laser irradiation, with a pre-pulse of appropriately chosen parameters leads to a dramatic improvement of conversion efficiency (CE). This year we achieved >25W EUV output with 4.7% CE. We have also achieved 119 hours continuous operation with more than 13W EUV output. Furthermore, Pilot # 1 achieved 143 hours of operation at 11W EUV power with 5% average CE. This paper presents the key technology update of the Gigaphoton EUV light source. International Conference on Extreme Ultraviolet Lithography 217, edited by Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, Toshiro Itani, Proc. of SPIE Vol. 145, 1451C 217 SPIE CCC code: X/17/$18 doi: / Proc. of SPIE Vol C-1

3 2. GIGAPHOTON LPP EUV LIGHT SOURCE SYSTEM Figure 1 shows the concept of Gigaphoton s high-volume-manufacturing (HVM) EUV light source, which consist of five key technologies: 1) Hybrid CO 2 laser system with solid-state-seeded, multi-line oscillator and fast gas flow amplifiers. 2) Pre-pulse technology for high CE and high ionization rate. 3) Shooting control technology of laser beam position and droplet position. 4) Tin debris mitigation technology exploiting ion guiding with strong magnetic field produced by a superconductive magnet. 5) Collector mirror with a grating structure that is highly efficient at reducing an out-of-band light. The details of each key technology are mentioned in the following sections. tuv chamber system - Vessel, Collector mirror, Droplet generator, Magnet 411a44111".4s 4tiagidw,rcar htvirl3c: *Mc. ombiner unit Focus unit OM grid!' IVI :way* ÉÁt3 krtot i14.1.4w E1JIF WW" I Osc I I PA 11 MA1 Beam transfer system I Pre pulse i 1 MA2 :,l4.r i':.t.z. magi oat= ; MA3 Irsffet.r--*or rik:141(4: IOW itc{irob`rp%i obarvr. X &WtWw,t Figure 1 Concept of Gigaphoton HVM EUV light source system In our R&D facility, we have been operating three prototypes of EUV light source that are called Proto#1, Proto#2 and Pilot#1. As shown in Table 1, Proto#1 was designed as a proof of concept with a focus on the debris mitigation technique. Proto #2 served as a platform for system control development and CE optimization. Pilot#1 in turn was designed with a thought for a commercial application in a semiconductor fab supporting HVM, and Figure 2 shows system overview of Pilot#1. Table 1 Specifications of Proto#1,#2 and Pilot#1 system Proto #1 Proof of Comcept Proto #2 Key Technology Pilot #1 HVM Ready Target Performance EUV Power 25W >1W 25 W CE 3.% 4.% 5.% Pulse Rate 1kHz 1kHz 1kHz Output Angle Horizontal 62 upper 62 upper Availability ti 1 week -- 1 week >75% Technology Droplet Generator 2-25um 2um 2um CO2 Laser 5kW 2kW 27kW Pre -pulse Laser picosecond picosecond picosecond Collector Mirror Lifetime Used as development platform 1 days >3 months Proc. of SPIE Vol C-2

4 Figure 2 System overview of Pilot#1 3. DROPLET GENERATOR The droplet generator emits a stream of 2um diameter droplets of tin at 1 khz repetition frequency into the plasma point located in the center of the EUV chamber. Sufficient position stability of the droplet is required to obtain stable tin plasma and stable EUV emission. The plasma generates the shock wave in the EUV chamber that disturbs the position of subsequent tin droplet. This disturbance of the droplet position significantly affects the EUV stability. We found that >9um spacing between the droplets is required to get around this problem. To cope with this issue, we developed a new, high-speed droplet generator capable of 9 m/s droplet velocity. This means that even at 1 khz operation, we can get 9um spacing required for a stable generation of EUV light. The progress of development of the droplet generator is shown in Table 2 and Figure 3. Table 2 Progress of development of the droplet generator unit Proto #1 Proto #2 (214) Proto #2,Pilot# 1 (Present) Droplet speed m/s Back pressure MPa Repetition rate limit khz Status Proven Proven Proven 2MPa -DLG 4MPa -DLG 6m /s 9m /s ó,o E Freq. =1kHz Freq. =1kHz Figure 3 Improvement of droplet spacing of the new generator Proc. of SPIE Vol C-3

5 4. HYBRID CO 2 LASER SYSTEM The CO 2 laser driver must generate pulses of a duration <2ns required for best efficiency of the LPP process, and must deliver >2mJ of energy per pulse at a repetition rate of 1kHz. The only way to meet this >2kW average power requirement is to use master-oscillator-power-amplifier (MOPA) approach. It is well known that an amplification of pulses with duration comparable to the relaxation dynamics of the CO 2 medium is significantly less efficient as compared to a CW operation. For this reason we have developed a multi-line capable master oscillator that can ameliorate the problem of reduced efficiency of pulsed amplification. The configuration of high power, pulsed CO 2 laser system is presented in Figure 4. Multi-Line Oscillator Power Amplifier Figure 4 System configuration of high power short pulsed CO 2 laser The multi-line oscillator was custom-designed at Gigaphoton especially to deliver the required pulse format. This innovative device combined the RF-discharge-excited, slab-waveguide CO 2 laser technology with the relatively recent, solid-state, quantum-cascade lasers (QCL) used as seeders 9). This marriage enabled a robust generation of pulses of excellent stability and duration adjustable within 15-35ns. The multi-line operation was also implemented by combining four QCLs addressing P-branch lines P18-P24 of regular band of CO 2 molecule (1.6 um). Our prior studies have shown that simultaneous amplification on these 4 strongest lines was expected to bring up to 2% energy improvement as compared to amplification on a single line only. The oscillator power was boosted to about 1W by a system of two, multi-pass amplifiers built also on RF-discharge-excited, slab-waveguide CO 2 lasers. The diagram of the short pulse, multi-line oscillator is shown in Figure 5. QCL Seeder1 (X,1) QCL Seeder 2 (X2) QCL Seeder n (An) Special design custom -built "regenerative" CO2 amplifier k1 =P18 2,2 = 2,3 = 2,4 =P24 L Key features: High rep -rate - 1kHz On- demand pulsing No pedestal Pulse- width -adjustable, 13-35ns Very stable pulse energy, <1%,5 Very stable pulse spectrum Very stable pulse -shape Very stable beam Minimal jitter <2ns Figure 5 Concept of short pulse, multi-line seeder of the CO 2 laser system The Gigaphoton s proprietary master oscillator can work asynchronously with pulse repetition rate from zero to 1kHz, which is ideal for the synchronization between the droplet target and the plasma drive laser. The jitter of the master oscillator pulses was confirmed to be below 2ns, assuring good control accuracy. The power amplifier stage of the MOPA system consisted of pre- and main amplifiers. The task of the power preamplifier was to boost 1W of master oscillator output to the level required for an efficient driving of power amplifier stages. The power stages employed multi-kw, commercial RF-discharge-excited, fast-flow CO 2 lasers. In the Proto systems, fast-axial-flow (FAF) lasers were used as main amplifiers. Recent developments in collaboration with Proc. of SPIE Vol C-4

6 Mitsubishi Electric Co. have allowed us to use also the fast-transverse-flow (FTF) lasers, which have got a number of advantages over FAF ones 1), such as a possibility to arrange multi-passing. An effective preamplifier based on multipassed FTF laser 11) was first tested in Proto #2 system. Pilot #1 system in turn has the power side of MOPA built entirely on FTF CO 2 technology. Higher power output and slightly improved beam quality are expected from this system. The detail of the FTF amplifier unit was shown by Mitsubishi Electric in the 217 SPIE Advanced Lithography poster A comparison of beam profiles produced by Proto#2 and Pilot#1 systems are shown in the Figure 6. The uniformity of the beam profiles is improved in the Pilot#1system. We aim to obtain CE enhancement following the improvement of CO 2 laser beam profile. MainAMP1 MainAMP2 MainAMP3 Pilot#1 System (FTF amplifier) wdt.3. Peek: Cant e927175n5_o Proto#2 System (FAF amplifier) Figure 6 CO 2 laser profiles of Proto#2 and Pilot#1 system. 5. PRE-PULSE TECHNOLOGY FOR HIGH CONVERSION EFFICIENCY Figure 7 shows the concept of dual-pulse irradiation system. An improvement of conversion efficiency from CO 2 laser energy to the EUV light energy is one of the most important issues for the development of 25W EUV light source. We found that the application of appropriate pre-pulse prior to the CO 2 laser irradiation highly improved the CE. The droplet is crushed into a mist of sub-micron particles by the shockwave of irradiation with the pre-pulse laser. Thanks to this, the ratio of surface area to the volume of the target increases significantly and the atomization by the CO 2 laser proceeds more efficiently. Furthermore, we found that the CE is further improved by using pre-pulse with picosecond duration as compared to nanosecond one. In Figure 8, the comparison of CE figures obtained with nanosecond and picosecond pre-pulse are presented. Figure 9 shows the deformation forms of the tin droplet irradiated by picosecond and nanosecond pre-pulse. In the case of nanosecond pre-pulse, the droplet is transformed into a high-density disk, whereas in the case of the picosecond prepulse, the droplet expands and takes a dome-like shape of significantly smaller density. The CO 2 laser energy can more effectively penetrate the mist after the Pico second laser is applied, and the entire tin volume can be subject to ionization, which we believe contributes to the improvement of CE and reduced amount of debris. We also found the pre-pulse conditions to realize 5.5% CE. In the figure 1, the experimental results are presented. These findings will be utilized in our future developments. Proc. of SPIE Vol C-5

7 Pre pulse Laser Droplet (Liquid) Main -pulse MI Laser(CO2) Fine -mist (Liquid) EUV Light Emission Plasma (Gas) Figure 7 Concept of dual shooting and magnetic debris mitigation 5 { 1ps -.- 1ns CO2 laser energy (mj) Figure 8 Conversion Efficiency of nano-second and pico-second pre-pulse laser psec Dome like target IMM Flat disk like target Pre -pulse Pre -pulse Figure 9 Forming of tin mist of different pre-pulse laser Proc. of SPIE Vol C-6

8 N O N) N Figure 1 Experimental result of CE improvement of several pre-pulse conditions pre-putte Droplet laser _ Fln -mat (Ilquld). Ilquldj_ O tp il) V t7 N.- 6. MITIGATION OF TIN DEBRIS A mitigation of tin debris is important to raise the so-called availability of the EUV light source as a commercial product. With this in mind we developed the magnetic mitigation technology. The concept of this technique is shown in Figure 11. The tin atoms undergo ionization by the high temperature plasma, become trapped by the strong magnetic field and then guided to the ion catcher. Unfortunately, not all tin can be captured by this magnetic mitigation system. Some fraction of the tin atoms remains neutral or quickly recombines and cannot be guided by the magnetic field away from the collector mirror. The tin atoms that attach to the surface of collector mirror can be removed chemically by stannane gas (SnH4) that is generated by an interaction of hydrogen and EUV light inside the chamber. The disassociation of stannane gas is a secondary source of atomic tin that can contribute to the pollution of the collector mirror. To prevent this, we have attempted to optimize the flow of gas inside the EUV chamber. Magnetla Fleld Ian Gulding Gee Etchl, and Extlauatlan 1 brie wilt kw energy Irapaed by 6 field s r < : Remaining ararne to mirrorerched kyy gas Figure 11 Concept of magnetic debris mitigation Figures 12 shows the distribution of tin deposition rate on collector mirror surface obtained in 215 and 217. This data were acquired from XRF analysis for multiple small test pieces attached on dummy collector mirror. Obviously, deposition rate was drastically reduced in these two years by optimizing various conditions inside chamber. Figure 13 shows the decrease of the collector mirror reflectance versus pulse number. This data was obtained with real collector mirrors. After mitigation improvement, the decrease in reflectance is about 1% at 9.6 Bpls. In addition, it also shows measured images of the Far Field. Proc. of SPIE Vol C-7

9 The mitigation improvement includes optimization of etching conditions, shooting control accuracy, and the like. With this improvement, we have the prospect of achieving a collector lifetime at the level applicable to semiconductor mass production factories. In the future, we will further improve mitigation and continue the evaluation with high EUV output and long operation times. g E, gliel I g Figures 12 Improvement of debris mitigation of collector mirror (Sn deposition rate) {a:;;tryl.. :'t:. 12%,-, 1% a) > 8% T.),_.. 6% = rrc 1J ai 4% a) 2% % After improvement W}RTfRi'rR7t-4 Before improvement Number of pulse (Bills) 1 Figure 13 Transition of collector mirror reflectance (After/before improvement) Proc. of SPIE Vol C-8

10 7. SYSTEM PERFORMANCE We are conducting comprehensive testing of Proto#2 and Pilot#1 light sources. Here, we present long-term stability data of each light source. Furthermore, the EUV energy data by the latest control in Pilot #1 is also shown. Figures 14 shows Proto#2 data of the dependency of EUV power and CE on CO 2 laser power without dose control at 1 khz pulse repetition and 5% duty cycle. Previously we achieved a maximum EUV power of 268W with 3.5% CE at 22kW CO 2 laser power. In the case of low CO 2 laser power, we got >4.% CE, however. As the CO 2 laser output increases, CE decreases (On the left side of FIG. 4). Therefore, we improved the irradiation conditions. As a result, the drop in CE on the high CO 2 laser output side was reduced and an EUV output of 32 W could be obtained. Figure 15 shows data of a long term operation of Proto#2 system, under conditions of dose control realized by controlling the CO 2 laser power. EUV power was 188W with dose stability (3sigma) below.3%. Operation time was 7 hours with average CE is 3.7% and about 15kW of CO 2 laser power. As can be seen in figure 15, the EUV output is controlled to be almost constant. Although CE is declining, this is due to deterioration, and we will make improvements on CE drop w CO2 laser power (W) 1 25 Improvement of AdJuitm nt method CO2 laser power (W) 1 25 Figures 14 Improvement of CE on high output side O In-band power (W) I' I' NJ N O Ó Ó Ó Ó Ó C.J1 O N N W 41, (ri Conversion efficiency (rio) Figure 15 High power long term operation data in Proto#2 Proc. of SPIE Vol C-9

11 Figure 16 shows the proto#2 data of 119Hours operation data with dose control at 132W condition W 132W 1 8 a) o a Number of pulse (Bpls) a Figure 16 Long term operation data for 119hours in Proto#2 (Plot: continuously running or continuous operation) The left side of figures 17 shows recent test data of the pilot # 1 system with dose control feedback at 5kHz. The EUV output was 113W, the average of the dose stability (3 sigma) was below.4%, the average CE 5.3%. Continuous source operation lasted more than 143 hours. Sometimes the dose error increases because of an irradiation error. As a measure against that, we are considering a new control method. The right side of figures 17 shows 5, pulses of EUV energy, dose error and CE. It can be seen that stable EUV is generated during 5, pls. We introduced a new control scheme to improve the energy control performance of Pilot#1. Figure 18 shows data of dose error before and after improvement. The dose error improves with the new method. Furthermore, we are developing new technologies for controlling the laser optical axis at high speed. This makes it possible to further improve dose error. L a 9 oa 6 ß C (6 _o C 3 o 1 Rep.rate 5kHz Duty cycle 75% 1 In -band power 113W Average power 85W 143hours operation ' ''IIRIPIPII Im MT ' Number of pulse (Bpls).1 m v v > w v ' v 4 ó 8 6 v 2 > o V 'MUM IMAM. v -.5p Number of pulse o Number of pulse Figures 17 Long term operation data for 143hours in Pilot#1 Proc. of SPIE Vol C-1

12 T' aaolag 4uauaanoaduai 4uauaanoaduai ò,-, S' o c ó S'- T'- OOOZ T aaquann jo asind Figure 18 Result of new EUV energy control method in Pilot#1 8. CONCLUSION The current status of key technology components has been presented for our 25W EUV light source. Gigaphoton s EUV Proto#2 system achieved maximum 32W EUV power, and 119 hours continuous operation in a condition of 13W EUV power. We also started operating the Pilot#1 HVM EUV light source system. The Pilot#1 achieved 113W EUV power, 143hours operation with more than 5.% conversion efficiency. We plan to improve mainly the following in the future. 1) The power of CO2 laser driver will be increased up to 27kW. 2) Further improvement of the Sn etching conditions and of the collector mirror lifetime, i.e. to further reduce the decrease in the reflectance of the mirror 3) Introduction of high-speed control and improvement of irradiation accuracy. Thereby further reducing the dose error. 9. ACKNOWLEDGMENT This work was partly supported by the New Energy and Industrial Technology Development Organization (NEDO), Japan. We acknowledge their continuous support. We acknowledge the following researchers and organizations; Plasma simulation was supported by Dr. Jun Sunahara, Dr. Katsunori Nishihara, Prof. Hiroaki Nishimura, and others in Osaka University; plasma diagnostics was provided by Dr. Kentaro Tomita, Prof. Kiichiro Uchino and others in Kyushu University; laser engineering was supported by Dr. Akira Endo of HiLase Project (Prague) and Prof. Masakazu Washio and others in Waseda University. We also acknowledge many companies and engineers; collaboration by EUV collector mirror suppliers; special thanks are due for the development of CO 2 laser amplifiers carried out by a team at Mitsubishi Electric: Dr. Junichi Nishimae, Dr. Shuichi Fujikawa and others. 1. REFERENCES [1] V. Bakshi. EUV lithography, vol SPIE Press (29). [2] Pirati, A., et al., Performance overview and outlook of EUV lithography systems, Proc. SPIE 9422, 94221P (215) Proc. of SPIE Vol C-11

13 [3] Mizoguchi, H., et al., First generation laser-produced plasma source system for HVM EUV lithography, Proc. SPIE 7636, (21). [4] Endo, A., et al., Laser produced EUV light source development for HVM, Proc. SPIE 6517, 6517O (27). [5] Mizoguchi, H., et al., 1W 1st Generation Laser-Produced Plasma light source system for HVM EUV lithography, Proc. SPIE 7969, (211). [6] Mizoguchi, H., et al., Sub-hundred Watt operation demonstration of HVM LPP-EUV Source, Proc. SPIE 948, 948D (214). [7] Mizoguchi, H., et al., Performance of One Hundred Watt HVM LPP-EUV Source, EUV Symposium 214, Oct , Washington D.C. (214). [8] K. M. Nowak, T. Ohta, T. Suganuma, J. Fujimoto, H. Mizoguchi, and A. Sumitani. CO 2 laser drives extreme ultraviolet nano-lithography - second life of mature laser technology, Opto-Electron. Rev., 21(4) (213). [9] K. M. Nowak, T. Ohta, T. Suganuma, J. Fujimoto, and H. Mizoguchi, A novel multi-line short-pulse solidstate seeded carbon-dioxide laser for extreme ultraviolet employing multi-pass radio-frequency excited slab amplifier, Opt. Lett. 38, (213). [1] Y. Tanino, T. Yamamoto, J. Nishimae, and S. Fujikawa. Efficient pulse amplification using a transverse-flow CO2 laser for extreme ultraviolet light source, Opt. Lett., 37, (212). [11] Y. Tanino, J. Nishimae, T. Yamamoto, K. Funaoka, T. Tamida, S. Tsuda, and S. Fujikawa. Transverse-flow radio-frequency-excited amplifier seeded by a cavity-dumped CO 2 laser for an extreme ultraviolet light source, Opt. Lett. 38, (213) Proc. of SPIE Vol C-12

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

1 Introduction. Review Article

1 Introduction. Review Article Adv. Opt. echn. 215; 4(4): 297 39 Review Article Hakaru Mizoguchi*, Hiroaki Nakarai, amotsu Abe, Krzysztof M. Nowak, Yasufumi Kawasuji, Hiroshi anaka, Yukio Watanabe, sukasa Hori, akeshi Kodama, Yutaka

More information

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Introduction of Products Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Hakaru Mizoguchi Takashi Saito Noritoshi Itou Taku Yamazaki

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography

Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography Multi-pass Slab CO 2 Amplifiers for Application in EUV Lithography V. Sherstobitov*, A. Rodionov**, D. Goryachkin*, N. Romanov*, L. Kovalchuk*, A. Endo***, K. Nowak*** *JSC Laser Physics, St. Petersburg,

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation Hirotaka Miyamoto,

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

Improving efficiency of CO 2

Improving efficiency of CO 2 Improving efficiency of CO 2 Laser System for LPP Sn EUV Source K.Nowak*, T.Suganuma*, T.Yokotsuka*, K.Fujitaka*, M.Moriya*, T.Ohta*, A.Kurosu*, A.Sumitani** and J.Fujimoto*** * KOMATSU ** KOMATSU/EUVA

More information

Beam quality of a new-type MOPO laser system for VUV laser lithography

Beam quality of a new-type MOPO laser system for VUV laser lithography Beam quality of a new-type MOPO laser system for VUV laser lithography Osamu Wakabayashi a, Tatsuya Ariga a, Takahito Kumazaki a, Koutarou Sasano a, Takayuki Watanabe a, Takayuki Yabu a, Tsukasa Hori a,

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

High Power CO 2 Laser, EUVA

High Power CO 2 Laser, EUVA High Power CO 2 Laser, EUVA Akira Endo Extreme Ultraviolet Lithography System Development Association EUVA, Japan EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Ver. 1.0 Acknowledgments This work was

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to

Nd: YAG Laser Energy Levels 4 level laser Optical transitions from Ground to many upper levels Strong absorber in the yellow range None radiative to Nd: YAG Lasers Dope Neodynmium (Nd) into material (~1%) Most common Yttrium Aluminum Garnet - YAG: Y 3 Al 5 O 12 Hard brittle but good heat flow for cooling Next common is Yttrium Lithium Fluoride: YLF

More information

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool 6520-75 Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool Toru Suzuki*, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Yasufumi Kawasuji*, Masashi

More information

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 193nm high power lasers for the wide bandgap material processing Junichi Fujimoto, Masakazu Kobayashi, Koji Kakizaki, Hiroaki Oizumi,

More information

Advanced seeders for fiber lasers - IFLA. 23 June. 2014

Advanced seeders for fiber lasers - IFLA. 23 June. 2014 Advanced seeders for fiber lasers - IFLA 23 June. 2014 Seeders - introduction In MOPA * pulsed fiber lasers, seeders largely impact major characteristics of the laser system: Optical spectrum Peak power

More information

A new picosecond Laser pulse generation method.

A new picosecond Laser pulse generation method. PULSE GATING : A new picosecond Laser pulse generation method. Picosecond lasers can be found in many fields of applications from research to industry. These lasers are very common in bio-photonics, non-linear

More information

Thin-Disc-Based Driver

Thin-Disc-Based Driver Thin-Disc-Based Driver Jochen Speiser German Aerospace Center (DLR) Institute of Technical Physics Solid State Lasers and Nonlinear Optics Folie 1 German Aerospace Center! Research Institution! Space Agency!

More information

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width

The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width The Development of a High Quality and a High Peak Power Pulsed Fiber Laser With a Flexible Tunability of the Pulse Width Ryo Kawahara *1, Hiroshi Hashimoto *1, Jeffrey W. Nicholson *2, Eisuke Otani *1,

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

Single frequency MOPA system with near diffraction limited beam

Single frequency MOPA system with near diffraction limited beam Single frequency MOPA system with near diffraction limited beam quality D. Chuchumishev, A. Gaydardzhiev, A. Trifonov, I. Buchvarov Abstract Near diffraction limited pulses of a single-frequency and passively

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser High Average Power, High Repetition Rate Side-Pumped Nd:YVO Slab Laser Kevin J. Snell and Dicky Lee Q-Peak Incorporated 135 South Rd., Bedford, MA 173 (71) 75-9535 FAX (71) 75-97 e-mail: ksnell@qpeak.com,

More information

Femtosecond to millisecond transient absorption spectroscopy: two lasers one experiment

Femtosecond to millisecond transient absorption spectroscopy: two lasers one experiment 7 Femtosecond to millisecond transient absorption spectroscopy: two lasers one experiment 7.1 INTRODUCTION The essential processes of any solar fuel cell are light absorption, electron hole separation

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Fiber lasers and their advanced optical technologies of Fujikura

Fiber lasers and their advanced optical technologies of Fujikura Fiber lasers and their advanced optical technologies of Fujikura Kuniharu Himeno 1 Fiber lasers have attracted much attention in recent years. Fujikura has compiled all of the optical technologies required

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

5kW DIODE-PUMPED TEST AMPLIFIER

5kW DIODE-PUMPED TEST AMPLIFIER 5kW DIODE-PUMPED TEST AMPLIFIER SUMMARY?Gain - OK, suggest high pump efficiency?efficient extraction - OK, but more accurate data required?self-stabilisation - Yes, to a few % but not well matched to analysis

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

SPECIAL EXCIMER LASERS

SPECIAL EXCIMER LASERS UNIVERSITY OF SZEGED DEPARTMENT OF EXPERIMENTAL PHYSICS SPECIAL EXCIMER LASERS /PhD-thesis/ Author: János Bohus Supervisor: Dr. Sándor Szatmári doctor of sciences in physics (doctor of MTA) Szeged 2007.

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

High power UV from a thin-disk laser system

High power UV from a thin-disk laser system High power UV from a thin-disk laser system S. M. Joosten 1, R. Busch 1, S. Marzenell 1, C. Ziolek 1, D. Sutter 2 1 TRUMPF Laser Marking Systems AG, Ausserfeld, CH-7214 Grüsch, Switzerland 2 TRUMPF Laser

More information

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Tatsuya Ariga, Hidenori Watanabe, Takahito Kumazaki, Naoki Kitatochi, Kotaro Sasano, Yoshifumi Ueno, Masayuki Konishi,

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

Micromachining with tailored Nanosecond Pulses

Micromachining with tailored Nanosecond Pulses Micromachining with tailored Nanosecond Pulses Hans Herfurth a, Rahul Patwa a, Tim Lauterborn a, Stefan Heinemann a, Henrikki Pantsar b a )Fraunhofer USA, Center for Laser Technology (CLT), 46025 Port

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec SPIE Photonics West 2.2.2014 Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier Bruno Le Garrec bruno.legarrec@eli-beams.eu On behalf of Georg Korn, Bedrich Rus and

More information

Designing for Femtosecond Pulses

Designing for Femtosecond Pulses Designing for Femtosecond Pulses White Paper PN 200-1100-00 Revision 1.1 July 2013 Calmar Laser, Inc www.calmarlaser.com Overview Calmar s femtosecond laser sources are passively mode-locked fiber lasers.

More information

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Created by Advanced Energy Industries, Inc., Fort Collins, CO Abstract Conventional applications for remote plasma sources

More information

Lecture 5: Introduction to Lasers

Lecture 5: Introduction to Lasers Lecture 5: Introduction to Lasers http://en.wikipedia.org/wiki/laser History of the Laser v Invented in 1958 by Charles Townes (Nobel prize in Physics 1964) and Arthur Schawlow of Bell Laboratories v Was

More information

Fiber Laser Chirped Pulse Amplifier

Fiber Laser Chirped Pulse Amplifier Fiber Laser Chirped Pulse Amplifier White Paper PN 200-0200-00 Revision 1.2 January 2009 Calmar Laser, Inc www.calmarlaser.com Overview Fiber lasers offer advantages in maintaining stable operation over

More information

Synchronization in Chaotic Vertical-Cavity Surface-Emitting Semiconductor Lasers

Synchronization in Chaotic Vertical-Cavity Surface-Emitting Semiconductor Lasers Synchronization in Chaotic Vertical-Cavity Surface-Emitting Semiconductor Lasers Natsuki Fujiwara and Junji Ohtsubo Faculty of Engineering, Shizuoka University, 3-5-1 Johoku, Hamamatsu, 432-8561 Japan

More information

Chapter 14. Tunable Dye Lasers. Presented by. Mokter Mahmud Chowdhury ID no.:

Chapter 14. Tunable Dye Lasers. Presented by. Mokter Mahmud Chowdhury ID no.: Chapter 14 Tunable Dye Lasers Presented by Mokter Mahmud Chowdhury ID no.:0412062246 1 Tunable Dye Lasers: - In a dye laser the active lasing medium is an organic dye dissolved in a solvent such as alcohol.

More information

QPR No SPONTANEOUS RADIOFREQUENCY EMISSION FROM HOT-ELECTRON PLASMAS XIII. Academic and Research Staff. Prof. A. Bers.

QPR No SPONTANEOUS RADIOFREQUENCY EMISSION FROM HOT-ELECTRON PLASMAS XIII. Academic and Research Staff. Prof. A. Bers. XIII. SPONTANEOUS RADIOFREQUENCY EMISSION FROM HOT-ELECTRON PLASMAS Academic and Research Staff Prof. A. Bers Graduate Students C. E. Speck A. EXPERIMENTAL STUDY OF ENHANCED CYCLOTRON RADIATION FROM AN

More information

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers

Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Development of Nano Second Pulsed Lasers Using Polarization Maintaining Fibers Shun-ichi Matsushita*, * 2, Taizo Miyato*, * 2, Hiroshi Hashimoto*, * 2, Eisuke Otani* 2, Tatsuji Uchino* 2, Akira Fujisaki*,

More information

Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015

Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015 Nonlinear Optics (WiSe 2015/16) Lecture 9: December 11, 2015 Chapter 9: Optical Parametric Amplifiers and Oscillators 9.8 Noncollinear optical parametric amplifier (NOPA) 9.9 Optical parametric chirped-pulse

More information

3.C High-Repetition-Rate Amplification of Su bpicosecond Pulses

3.C High-Repetition-Rate Amplification of Su bpicosecond Pulses 5. P. R. Smith, D. H. Auston, A. M. Johnson, and W. M. Augustyniak, Appl. Phys. Lett. 38, 47-50 (1 981). 6. F. J. Leonburger and P. F. Moulton, Appl. Phys. Lett. 35, 712-714 (1 979). 7. A. P. Defonzo,

More information

Practical Applications of Laser Technology for Semiconductor Electronics

Practical Applications of Laser Technology for Semiconductor Electronics Practical Applications of Laser Technology for Semiconductor Electronics MOPA Single Pass Nanosecond Laser Applications for Semiconductor / Solar / MEMS & General Manufacturing Mark Brodsky US Application

More information

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics High Power Thin Disk Lasers Dr. Adolf Giesen German Aerospace Center Folie 1 Research Topics - Laser sources and nonlinear optics Speiser Beam control and optical diagnostics Riede Atm. propagation and

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

J-KAREN-P Session 1, 10:00 10:

J-KAREN-P Session 1, 10:00 10: J-KAREN-P 2018 Session 1, 10:00 10:25 2018 5 8 Outline Introduction Capabilities of J-KAREN-P facility Optical architecture Status and implementation of J-KAREN-P facility Amplification performance Recompression

More information

Control of Induction Thermal Plasmas by Coil Current Modulation in Arbitrary-waveform

Control of Induction Thermal Plasmas by Coil Current Modulation in Arbitrary-waveform J. Plasma Fusion Res. SERIES, Vol. 8 (29) Control of Induction Thermal Plasmas by Coil Current Modulation in Arbitrary-waveform Yuki TSUBOKAWA, Farees EZWAN, Yasunori TANAKA and Yoshihiko UESUGI Division

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

Gigashot TM FT High Energy DPSS Laser

Gigashot TM FT High Energy DPSS Laser Gigashot TM FT High Energy DPSS Laser Northrop Grumman Cutting Edge Optronics (636) 916-4900 / Email: st-ceolaser-info@ngc.com 2015 Northrop Grumman Systems Corporation Gigashot TM FT Key Specifications

More information

Quantum frequency standard Priority: Filing: Grant: Publication: Description

Quantum frequency standard Priority: Filing: Grant: Publication: Description C Quantum frequency standard Inventors: A.K.Dmitriev, M.G.Gurov, S.M.Kobtsev, A.V.Ivanenko. Priority: 2010-01-11 Filing: 2010-01-11 Grant: 2011-08-10 Publication: 2011-08-10 Description The present invention

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

A Coherent White Paper May 15, 2018

A Coherent White Paper May 15, 2018 OPSL Advantages White Paper #3 Low Noise - No Mode Noise 1. Wavelength flexibility 2. Invariant beam properties 3. No mode noise ( green noise ) 4. Superior reliability - huge installed base The optically

More information

CO 2 / Sn LPP EUV Sources for device development and HVM

CO 2 / Sn LPP EUV Sources for device development and HVM CO 2 / Sn LPP EUV Sources for device development and HVM David C. Brandt, Igor V. Fomenkov, Nigel R. Farrar, Bruno La Fontaine, David W. Myers, Daniel J. Brown, Alex I. Ershov, Richard L. Sandstrom, Georgiy

More information

Lasers PH 645/ OSE 645/ EE 613 Summer 2010 Section 1: T/Th 2:45-4:45 PM Engineering Building 240

Lasers PH 645/ OSE 645/ EE 613 Summer 2010 Section 1: T/Th 2:45-4:45 PM Engineering Building 240 Lasers PH 645/ OSE 645/ EE 613 Summer 2010 Section 1: T/Th 2:45-4:45 PM Engineering Building 240 John D. Williams, Ph.D. Department of Electrical and Computer Engineering 406 Optics Building - UAHuntsville,

More information

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers

All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers All diode-pumped 4 Joule 527 nm Nd:YLF laser for pumping Ti:Sapphire lasers Faming Xu, Chris Briggs, Jay Doster, Ryan Feeler and Edward Stephens Northrop Grumman Cutting Edge Optronics, 20 Point West Blvd,

More information

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Introduction Fundamentals of laser Types of lasers Semiconductor lasers ECE 5368 Introduction Fundamentals of laser Types of lasers Semiconductor lasers Introduction Fundamentals of laser Types of lasers Semiconductor lasers How many types of lasers? Many many depending on

More information

Mass Spectrometry and the Modern Digitizer

Mass Spectrometry and the Modern Digitizer Mass Spectrometry and the Modern Digitizer The scientific field of Mass Spectrometry (MS) has been under constant research and development for over a hundred years, ever since scientists discovered that

More information

High power VCSEL array pumped Q-switched Nd:YAG lasers

High power VCSEL array pumped Q-switched Nd:YAG lasers High power array pumped Q-switched Nd:YAG lasers Yihan Xiong, Robert Van Leeuwen, Laurence S. Watkins, Jean-Francois Seurin, Guoyang Xu, Alexander Miglo, Qing Wang, and Chuni Ghosh Princeton Optronics,

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

PERFORMANCE OF PHOTODIGM S DBR SEMICONDUCTOR LASERS FOR PICOSECOND AND NANOSECOND PULSING APPLICATIONS

PERFORMANCE OF PHOTODIGM S DBR SEMICONDUCTOR LASERS FOR PICOSECOND AND NANOSECOND PULSING APPLICATIONS PERFORMANCE OF PHOTODIGM S DBR SEMICONDUCTOR LASERS FOR PICOSECOND AND NANOSECOND PULSING APPLICATIONS By Jason O Daniel, Ph.D. TABLE OF CONTENTS 1. Introduction...1 2. Pulse Measurements for Pulse Widths

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

Robustness and high MTBF, to guarantee availability, even in harsh industrial environments. Maintenance-free, thereby eliminating maintenance

Robustness and high MTBF, to guarantee availability, even in harsh industrial environments. Maintenance-free, thereby eliminating maintenance Efficient Industrial Application of Near-Infrared Laser Sources Fiber lasers, Nd:YAG or Nd:YVO lasers may be combined with either flatbed or galvanometer systems as well as with fixed-beam systems for

More information

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic

BMC s heritage deformable mirror technology that uses hysteresis free electrostatic Optical Modulator Technical Whitepaper MEMS Optical Modulator Technology Overview The BMC MEMS Optical Modulator, shown in Figure 1, was designed for use in free space optical communication systems. The

More information

UV GAS LASERS PREPARED BY: STUDENT NO: COURSE NO: EEE 6503 COURSE TITLE: LASER THEORY

UV GAS LASERS PREPARED BY: STUDENT NO: COURSE NO: EEE 6503 COURSE TITLE: LASER THEORY UV GAS LASERS PREPARED BY: ISMAIL HOSSAIN FARHAD STUDENT NO: 0411062241 COURSE NO: EEE 6503 COURSE TITLE: LASER THEORY Introduction The most important ultraviolet lasers are the nitrogen laser and the

More information

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling Igor Fomenkov ASML Fellow 2017 Source Workshop, Dublin, Ireland, November 7 th Outline Slide 2 Background

More information

A CW seeded femtosecond optical parametric amplifier

A CW seeded femtosecond optical parametric amplifier Science in China Ser. G Physics, Mechanics & Astronomy 2004 Vol.47 No.6 767 772 767 A CW seeded femtosecond optical parametric amplifier ZHU Heyuan, XU Guang, WANG Tao, QIAN Liejia & FAN Dianyuan State

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams The System-FE-1064nm is set to generate short shaped pulses with high extinction ratio at 1064.1 nm. It allows dynamic extinction ratio up to 55 db with user adjustable pulse duration, repetition rate

More information