Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool

Size: px
Start display at page:

Download "Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool"

Transcription

1 Ultra line narrowed injection lock laser light source for hyper NA ArF immersion lithography tool Toru Suzuki*, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Yasufumi Kawasuji*, Masashi Shimbori**, Masaya Yoshino**, Takahito Kumazaki*, Hiroshi Umeda*, Hitoshi Nagano**, Shinji Nagai*, Youichi Sasaki** and Hakaru Mizoguchi*** * Komatsu Ltd., ** Ushio Inc., ***Gigaphoton Inc.

2 Outline 1. Introduction - laser spectrum spec - CD error sensitivity for E95 - E95 adjustability 2. Narrower spectrum for Hyper NA lithography - High resolution Line narrowing module (LNM) - E95 fluctuation caused by acoustic wave 3. Stable spectrum for critical process - Improved thermal design of LNM -BCM 4. Conclusion Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

3 1. Introduction 1.1 E95 spec for hyper NA immersion lithography E95[pm] GT40A refractive lens system GT60A catadioptric lens system GT61A % improvement! E95 < 0.35pm required for hyper NA lithography NA Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

4 1.2 CD error sensitivity for E95 (1) Simulation conditions 1D Binary Contact hole A = nm B = 10 * A Illumination: quadrupole sigma 0.8/0.15 NA: 1.3 immersion Wavelength: 193nm E95: 0.35pm & 0.50pm Resist thickness: 165nm Simulator: prolith v9.3 A B Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

5 1.2 CD error sensitivity for E95 (2) Simulation results CD sensitivity [nm/(0.1pm)] >3nm CD change is induced by 0.1 pm E95 change E95=0.35pm E95=0.50pm Hole size [nm] Critical process need stable spectrum de95 < 0.1pm design target introduce Bandwidth Control Module (BCM) Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

6 1.3 E95 adjustability E95[pm] Before adjustment A B C D E F G H Laser Tool to tool differences at shipping & after replacement of modules Conventional data de95 < 0.15pm Need E95 adjustments for the exact replication of the process E95[pm] After adjustment A B C D E F G H Laser Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

7 2. Narrower spectrum for Hyper NA lithography E95 <0.35pm High resolution Line narrowing module (LNM) E95 fluctuation caused by acoustic wave 3. Stable spectrum for critical process de95 < 0.1pm Improved thermal stabilization design of LNM BCM No tool to tool spectrum differences for replication of the process E95 stabilization by feedback system Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

8 2. Narrower spectrum for Hyper NA lithography E95 <0.35pm High resolution Line narrowing module (LNM) E95 fluctuation caused by acoustic wave 3. Stable spectrum for critical process de95 < 0.1pm Improved thermal stabilization design of LNM BCM No tool to tool spectrum differences for replication of the process E95 stabilization by feedback system Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

9 2-1 High resolution line narrowing module High resolution grating Laser chamber Prism Output coupler Suppress acoustic wave effect New thermal design Diffraction grating Line narrowing module (LNM) Monocrometer: λ resolution : = dλ 1 million Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

10 Typical spectrum profile of new LNM Intensity GT61A GT60A relative wavelength [pm] Modified Lorenzian n=3 n c f ( λ) = n n c + (2λ) GT60A GT61A E pm 0.25pm Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

11 2-2 E95 fluctuation caused by acoustic wave Suppress the acoustic wave effect in the chamber Acoustic wave Need to reduce acoustic wave affection to discharge Power supply increase the capacity Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

12 Repetition rate dependency of E Band width [pm] FWHM(pm) E95(pm) Rep. rate (Hz) No remarkable peak for all frequency Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

13 2. Narrower spectrum for Hyper NA lithography E95 <0.35pm High resolution Line narrowing module (LNM) E95 fluctuation caused by acoustic wave 3. Stable spectrum for critical process de95 < 0.1pm Improved thermal design of LNM BCM No tool to tool spectrum differences for replication of the process E95 stabilization by feedback system Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

14 1) New thermal design of LNM Improved thermal stabilization design Spectrum E95 [pm] LNM with large heat effect New design LNM time [min] E95 [pm] time [s] Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

15 2) E95 feedback control system BCM (bandwidth control module) System schematics High accuracy thermal control Airtight chamber E95 Monitor Deconvolution processor (DSPx2) BCM Controller Optical fiber Illumination optics GT40/60A Laser High finesse Etalon High resolution imaging optics Low noise VUV detective CCD sensor CCD driver Main processor (SH) E95 Control Module AMP CHB MM Laser Controller actuator OSC CHB LNM Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

16 3) Bandwidth control module feature Grating Laser light Optics Wave front No change Spectrum λ1 λ1 λ1 Narrow λ1 Optics Wave front change Grating Laser light λ2 Spectrum λ1 λ3 Broad λ2 λ1 λ3 Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

17 1) spectrum profile stability FWHM[pm] E95[pm] E95/FWHM Intensity 27kV 0.75pm 0.50pm 0.35pm Δλ [pm] BCM (bandwidth control module) enables to adjust the spectrum bandwidth No spectrum profile change by adjusting E95 Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

18 2) Laser performance stability wavelength sigma max[pm] E95=0.30pm E95=0.45pm Output Power [W] Dose error[%] pm 0.45pm Output power[w] Wavelength and dose performance do not change by adjusting E95 Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

19 3) E95 feedback control performances (1) a) Short term (4sec) : die to die Target E95 = 0.3pm E95 [pm] E95 [pm] Time [sec] Target E95 = 0.6pm w/o control w/ control w/o control w/ control Time [s] Variation (Peak to Valley) w/ control 0.02pm w/o control 0.03pm Variation (Peak to Valley) w/ control 0.03pm w/o control 0.05pm Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

20 3) E95 feedback control performances (2) b) Middle term (30min) : wafer to wafer Target E95 = 0.4pm E95 [pm] Low duty High duty Low duty w/o control w/ control Time [min] Variation (Peak to Valley) w/ control 0.03pm w/o control 0.13pm Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

21 3) E95 feedback control performances (3) c) Long term (3 days) : lot to lot E95[pm] Mpls Duty[%] Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

22 3. Conclusion 1) CD error, properly related to laser spectrum for Hyper NA (>1.3) immersion lens, is characterized by numerical simulations. 2) We decide the tight E95 and de95 design target. We innovated new line narrowing module, chamber and BCM to achieve the tight target. 3) New line narrowing module achieved E95 < 0.35pm. 4) New Bandwidth Control Module (BCM) makes stable E95 (de95 < 0.1pm) which is required for critical process. 5) Adjustable E95 enables exact replication of the process. 6) ArF light source GT61A for Hyper NA immersion lithography is successfully developed. Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

23 Copyright 2007 GIGAPHOTON INC. all rights reserved. SPIE /22

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation

PROCEEDINGS OF SPIE. The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie The next-generation ArF excimer laser for multiple-patterning immersion lithography with helium free operation Hirotaka Miyamoto,

More information

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography Akihiko Kurosu, Masaki Nakano, Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki,

More information

Beam quality of a new-type MOPO laser system for VUV laser lithography

Beam quality of a new-type MOPO laser system for VUV laser lithography Beam quality of a new-type MOPO laser system for VUV laser lithography Osamu Wakabayashi a, Tatsuya Ariga a, Takahito Kumazaki a, Koutarou Sasano a, Takayuki Watanabe a, Takayuki Yabu a, Tsukasa Hori a,

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Tatsuya Ariga, Hidenori Watanabe, Takahito Kumazaki, Naoki Kitatochi, Kotaro Sasano, Yoshifumi Ueno, Masayuki Konishi,

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing

PROCEEDINGS OF SPIE. 193nm high power lasers for the wide bandgap material processing PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 193nm high power lasers for the wide bandgap material processing Junichi Fujimoto, Masakazu Kobayashi, Koji Kakizaki, Hiroaki Oizumi,

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G APPLICATION NOTE M01 attocfm I for Surface Quality Inspection Confocal microscopes work by scanning a tiny light spot on a sample and by measuring the scattered light in the illuminated volume. First,

More information

Narrow line diode laser stacks for DPAL pumping

Narrow line diode laser stacks for DPAL pumping Narrow line diode laser stacks for DPAL pumping Tobias Koenning David Irwin, Dean Stapleton, Rajiv Pandey, Tina Guiney, Steve Patterson DILAS Diode Laser Inc. Joerg Neukum Outline Company overview Standard

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Performance of Very High Repetition Rate ArF Lasers

Performance of Very High Repetition Rate ArF Lasers Performance of Very High Repetition Rate ArF Lasers Jean-Marc Hueber, Herve Besaucele, Palash Das, Rick Eis, Alex Ershov, Vladimir Fleurov, Dmitri Gaidarenko, Thomas Hofmann, Paul Meicher, William Partlo,

More information

Approaching the NA of Water: Immersion Lithography at 193nm

Approaching the NA of Water: Immersion Lithography at 193nm Approaching the NA of Water: Immersion Lithography at 193nm Bruce Smith Y. Fan, A. Bourov, L. Zavyalova, J. Zhou, F. Cropanese, N. Lafferty Rochester Institute of Technology M. Gower, D. Ashworth Exitech

More information

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography High Power, High Repetition Rate F 2 Laser R. Pätzel a, S. Spratte a, F. Voss a, I. Bragin a, E. Bergmann a, N. Niemöller a, T. Nagy a, U. Rebhan a, K. Vogler a, I. Klaft a, S. Govorkov b, G. Hua b a Lambda

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13 Chapter 17: Wave Optics Key Terms Wave model Ray model Diffraction Refraction Fringe spacing Diffraction grating Thin-film interference What is Light? Light is the chameleon of the physical world. Under

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Stabilized Interrogation and Multiplexing. Techniques for Fiber Bragg Grating Vibration Sensors

Stabilized Interrogation and Multiplexing. Techniques for Fiber Bragg Grating Vibration Sensors Stabilized Interrogation and Multiplexing Techniques for Fiber Bragg Grating Vibration Sensors Hyung-Joon Bang, Chang-Sun Hong and Chun-Gon Kim Division of Aerospace Engineering Korea Advanced Institute

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Nikon F2 Exposure Tool

Nikon F2 Exposure Tool F2 Exposure Tool Soichi Owa, Naomasa Shiraishi, Issei Tanaka, Yasuhiro Ohmura, Toshihiko Ozawa, Teruki Kobayashi, Kazushi Nomura, Takashi Aoki, and Takayuki Mizutani Corporation NSR 157nm Data Review 1

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams

ModBox-FE-125ps-10mJ. Performance Highlights FEATURES APPLICATIONS. Electrical & Optical Pulse Diagrams The System-FE-1064nm is set to generate short shaped pulses with high extinction ratio at 1064.1 nm. It allows dynamic extinction ratio up to 55 db with user adjustable pulse duration, repetition rate

More information

1. Introduction. Fig. 1 Epsilon-1 on the launch pad. Taken from

1. Introduction. Fig. 1 Epsilon-1 on the launch pad. Taken from Development of Simultaneous Measurement System for s and Using Multiple FBG Sensors (For Structural Health Monitoring of Solid Space Rocket Composite Motor Case) NAKAJIMA Tomio : Manager, Technical Research

More information

R. J. Jones Optical Sciences OPTI 511L Fall 2017

R. J. Jones Optical Sciences OPTI 511L Fall 2017 R. J. Jones Optical Sciences OPTI 511L Fall 2017 Semiconductor Lasers (2 weeks) Semiconductor (diode) lasers are by far the most widely used lasers today. Their small size and properties of the light output

More information

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG C. Schnitzler a, S. Hambuecker a, O. Ruebenach a, V. Sinhoff a, G. Steckman b, L. West b, C. Wessling c, D. Hoffmann

More information

High Peak Power Fiber Seeds & Efficient Stabilized Pumps

High Peak Power Fiber Seeds & Efficient Stabilized Pumps High Peak Power Fiber Seeds & Efficient Stabilized Pumps Features Ultra Narrow Spectral Bandwidth (< 100kHz Instantaneous for single mode diodes) Ultra Track Linear Tracking Photodiode Temperature Stabilized

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source

Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Introduction of Products Short wavelength light source for semiconductor manufacturing: Challenge from excimer laser to LPP-EUV light source Hakaru Mizoguchi Takashi Saito Noritoshi Itou Taku Yamazaki

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Silicon wafer thickness monitor

Silicon wafer thickness monitor Silicon wafer thickness monitor SIT-200 Alnair Labs Corporation 2016.04.20 Principle of Measurement Silicon wafer Optical fiber Sensor head Wavelength tunable laser PD PD Interference signal Power monitor

More information

Highly Reliable 40-mW 25-GHz 20-ch Thermally Tunable DFB Laser Module, Integrated with Wavelength Monitor

Highly Reliable 40-mW 25-GHz 20-ch Thermally Tunable DFB Laser Module, Integrated with Wavelength Monitor Highly Reliable 4-mW 2-GHz 2-ch Thermally Tunable DFB Laser Module, Integrated with Wavelength Monitor by Tatsuya Kimoto *, Tatsushi Shinagawa *, Toshikazu Mukaihara *, Hideyuki Nasu *, Shuichi Tamura

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

7 CHAPTER 7: REFRACTIVE INDEX MEASUREMENTS WITH COMMON PATH PHASE SENSITIVE FDOCT SETUP

7 CHAPTER 7: REFRACTIVE INDEX MEASUREMENTS WITH COMMON PATH PHASE SENSITIVE FDOCT SETUP 7 CHAPTER 7: REFRACTIVE INDEX MEASUREMENTS WITH COMMON PATH PHASE SENSITIVE FDOCT SETUP Abstract: In this chapter we describe the use of a common path phase sensitive FDOCT set up. The phase measurements

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

ModBox Pulse Shaper Arbitrary Optical Waveform Generator

ModBox Pulse Shaper Arbitrary Optical Waveform Generator Delivering Modulation Solutions ModBox The Photline Modbox-Pulse-Shaper is an Optical Modulation Unit to generate short shaped pulses with high extinction ratio at 1030 nm, 1053 nm or 1064 nm. It allows

More information

DESIGN CHALLENGES OF A TUNABLE LASER INTERROGATOR FOR GEO-STATIONARY COMMUNICATION SATELLITES

DESIGN CHALLENGES OF A TUNABLE LASER INTERROGATOR FOR GEO-STATIONARY COMMUNICATION SATELLITES DESIGN CHALLENGES OF A TUNABLE LASER INTERROGATOR FOR GEO-STATIONARY COMMUNICATION SATELLITES Selwan K. Ibrahim 1, Arthur Honniball 1, Raymond McCue 1, Michael Todd 1, John A. O Dowd 1, David Sheils 1,

More information

HIGH PRECISION OPERATION OF FIBER BRAGG GRATING SENSOR WITH INTENSITY-MODULATED LIGHT SOURCE

HIGH PRECISION OPERATION OF FIBER BRAGG GRATING SENSOR WITH INTENSITY-MODULATED LIGHT SOURCE HIGH PRECISION OPERATION OF FIBER BRAGG GRATING SENSOR WITH INTENSITY-MODULATED LIGHT SOURCE Nobuaki Takahashi, Hiroki Yokosuka, Kiyoyuki Inamoto and Satoshi Tanaka Department of Communications Engineering,

More information

Table of Content. Fiber-Coupled LED s Light-Guide-Coupled LED s LED Collimator Sources Low-cost LED Spot Lights...

Table of Content. Fiber-Coupled LED s Light-Guide-Coupled LED s LED Collimator Sources Low-cost LED Spot Lights... LIGHT SOURCES Table of Content Fiber-Coupled s... 40 -Guide-Coupled s... 41 Collimator... 42 Low-cost Spot s... 43 Precision Spot s... 45 Spectrum Synthesizing ( Cubic S )... 46 Spectrometers 39 sources

More information

Study of Multiwavelength Fiber Laser in a Highly Nonlinear Fiber

Study of Multiwavelength Fiber Laser in a Highly Nonlinear Fiber Study of Multiwavelength Fiber Laser in a Highly Nonlinear Fiber I. H. M. Nadzar 1 and N. A.Awang 1* 1 Faculty of Science, Technology and Human Development, Universiti Tun Hussein Onn Malaysia, Johor,

More information

Agilent 81980/ 81940A, Agilent 81989/ 81949A, Agilent 81944A Compact Tunable Laser Sources

Agilent 81980/ 81940A, Agilent 81989/ 81949A, Agilent 81944A Compact Tunable Laser Sources Agilent 81980/ 81940A, Agilent 81989/ 81949A, Agilent 81944A Compact Tunable Laser Sources December 2004 Agilent s Series 819xxA high-power compact tunable lasers enable optical device characterization

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs Christophe Moser, CEO Moser@ondax.com Contributors: Gregory Steckman, Frank Havermeyer, Wenhai Liu: Ondax Inc. Christian

More information

Large-Area Interference Lithography Exposure Tool Development

Large-Area Interference Lithography Exposure Tool Development Large-Area Interference Lithography Exposure Tool Development John Burnett 1, Eric Benck 1 and James Jacob 2 1 Physical Measurements Laboratory, NIST, Gaithersburg, MD, USA 2 Actinix, Scotts Valley, CA

More information

Bragg and fiber gratings. Mikko Saarinen

Bragg and fiber gratings. Mikko Saarinen Bragg and fiber gratings Mikko Saarinen 27.10.2009 Bragg grating - Bragg gratings are periodic perturbations in the propagating medium, usually periodic variation of the refractive index - like diffraction

More information

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b,

Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, Impact of the light coupling on the sensing properties of photonic crystal cavity modes Kumar Saurav* a,b, Nicolas Le Thomas a,b, a Photonics Research Group, Ghent University-imec, Technologiepark-Zwijnaarde

More information

Optical Waveguide Types

Optical Waveguide Types 8 Refractive Micro Optics Optical Waveguide Types There are two main types of optical waveguide structures: the step index and the graded index. In a step-index waveguide, the interface between the core

More information

S.R.Taplin, A. Gh.Podoleanu, D.J.Webb, D.A.Jackson AB STRACT. Keywords: fibre optic sensors, white light, channeled spectra, ccd, signal processing.

S.R.Taplin, A. Gh.Podoleanu, D.J.Webb, D.A.Jackson AB STRACT. Keywords: fibre optic sensors, white light, channeled spectra, ccd, signal processing. White-light displacement sensor incorporating signal analysis of channeled spectra S.R.Taplin, A. Gh.Podoleanu, D.J.Webb, D.A.Jackson Applied Optics Group, Physics Department, University of Kent, Canterbury,

More information

1550 nm Programmable Picosecond Laser, PM

1550 nm Programmable Picosecond Laser, PM 1550 nm Programmable Picosecond Laser, PM The Optilab is a programmable laser that produces picosecond pulses with electrical input pulses. It functions as a seed pulse generator for Master Oscillator

More information

High Power and Energy Femtosecond Lasers

High Power and Energy Femtosecond Lasers High Power and Energy Femtosecond Lasers PHAROS is a single-unit integrated femtosecond laser system combining millijoule pulse energies and high average powers. PHAROS features a mechanical and optical

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1 Lecture 6 Optical transmitters Photon processes in light matter interaction Lasers Lasing conditions The rate equations CW operation Modulation response Noise Light emitting diodes (LED) Power Modulation

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Wavelength Division Multiplexing of a Fibre Bragg Grating Sensor using Transmit-Reflect Detection System

Wavelength Division Multiplexing of a Fibre Bragg Grating Sensor using Transmit-Reflect Detection System Edith Cowan University Research Online ECU Publications 2012 2012 Wavelength Division Multiplexing of a Fibre Bragg Grating Sensor using Transmit-Reflect Detection System Gary Allwood Edith Cowan University

More information

A Narrow-Band Tunable Diode Laser System with Grating Feedback

A Narrow-Band Tunable Diode Laser System with Grating Feedback A Narrow-Band Tunable Diode Laser System with Grating Feedback S.P. Spirydovich Draft Abstract The description of diode laser was presented. The tuning laser system was built and aligned. The free run

More information

Agilent 81600B All-band Tunable Laser Source Technical Specifications December 2002

Agilent 81600B All-band Tunable Laser Source Technical Specifications December 2002 Agilent 81600B All-band Tunable Laser Source December 2002 The 81600B, the flagship product in Agilent s market-leading portfolio of tunable laser sources, sweeps the entire S, C and L- bands with just

More information

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. Gower Exitech Limited Hanborough Park, Long Hanborough, Oxford

More information

Tunable Laser Kits. Features

Tunable Laser Kits. Features Thorlabs' Tunable Laser Kits are designed for superior cavity construction flexibility and high-stability performance. Available in either a Littrow or Littman configuration, these external cavity laser

More information

The Theta Laser A Low Noise Chirped Pulse Laser. Dimitrios Mandridis

The Theta Laser A Low Noise Chirped Pulse Laser. Dimitrios Mandridis CREOL Affiliates Day 2011 The Theta Laser A Low Noise Chirped Pulse Laser Dimitrios Mandridis dmandrid@creol.ucf.edu April 29, 2011 Objective: Frequency Swept (FM) Mode-locked Laser Develop a frequency

More information

Optoelectronics Data Book

Optoelectronics Data Book Optoelectronics Data Book Innovators in Optoelectronics TABLE OF CONTENTS Alphanumeric Index...4 Eye Safety Issues...6 Introduction...7 Componets High-Power GaAlAs IR Emitters in TO-46 Packages... High-Temperature

More information

Wavelength Control and Locking with Sub-MHz Precision

Wavelength Control and Locking with Sub-MHz Precision Wavelength Control and Locking with Sub-MHz Precision A PZT actuator on one of the resonator mirrors enables the Verdi output wavelength to be rapidly tuned over a range of several GHz or tightly locked

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems

Lasers à fibres ns et ps de forte puissance. Francois SALIN EOLITE systems Lasers à fibres ns et ps de forte puissance Francois SALIN EOLITE systems Solid-State Laser Concepts rod temperature [K] 347 -- 352 342 -- 347 337 -- 342 333 -- 337 328 -- 333 324 -- 328 319 -- 324 315

More information

An Optical Characteristic Testing System for the Infrared Fiber in a Transmission Bandwidth 9-11μm

An Optical Characteristic Testing System for the Infrared Fiber in a Transmission Bandwidth 9-11μm An Optical Characteristic Testing System for the Infrared Fiber in a Transmission Bandwidth 9-11μm Ma Yangwu *, Liang Di ** Center for Optical and Electromagnetic Research, State Key Lab of Modern Optical

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1-1 Preface Telecommunication lasers have evolved substantially since the introduction of the early AlGaAs-based semiconductor lasers in the late 1970s suitable for transmitting

More information

FFP-TF2 Fiber Fabry-Perot Tunable Filter Technical Reference

FFP-TF2 Fiber Fabry-Perot Tunable Filter Technical Reference FFP-TF2 Fiber Fabry-Perot Tunable Filter MICRON OPTICS, INC. 1852 Century Place NE Atlanta, GA 3345 Tel. (44) 325-5 Fax. (44) 325-482 Internet: www.micronoptics.com Email: sales@micronoptics.com Rev_A

More information

Photline ModBox. ModBox-PP-NIR Near Infra-Red Pulse Picker Modulation Unit FEATURES. Performance Highlights OPTIONS. Optical Pulse Diagrams

Photline ModBox. ModBox-PP-NIR Near Infra-Red Pulse Picker Modulation Unit FEATURES. Performance Highlights OPTIONS. Optical Pulse Diagrams The ModBox Pulse Picker allows to pick and pulse shape any pulse or pulse sequence in an incoming pulse train. It acts as a fast gate with low insertion loss and high extinction, and is available in a

More information

GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR

GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR GOOCH & HOUSEGO NOVEL OPTICAL COMPONENTS FOR THE IR June 017 Gooch & Housego NOVEL Optical components for the IR Acousto-Optic components for:- µm < λ < 4µm Novel Optical Components Slide ACOUSTO OPTICS

More information

Basics of confocal imaging (part I)

Basics of confocal imaging (part I) Basics of confocal imaging (part I) Swiss Institute of Technology (EPFL) Faculty of Life Sciences Head of BIOIMAGING AND OPTICS BIOP arne.seitz@epfl.ch Lateral resolution BioImaging &Optics Platform Light

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

Application Instruction 002. Superluminescent Light Emitting Diodes: Device Fundamentals and Reliability

Application Instruction 002. Superluminescent Light Emitting Diodes: Device Fundamentals and Reliability I. Introduction II. III. IV. SLED Fundamentals SLED Temperature Performance SLED and Optical Feedback V. Operation Stability, Reliability and Life VI. Summary InPhenix, Inc., 25 N. Mines Road, Livermore,

More information

ModBox Pulse C-Band 30 ps

ModBox Pulse C-Band 30 ps ModBox The Photline Modbox-Pulse-CBand-30ps is a high extinction ratio optical Pulse Generator operating in the C-Band. It allows dynamic extinction ratio of 40dB@1550nm with high stability over time,

More information

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC Waveguide Bragg Gratings and Resonators JUNE 2016 1 Outline Introduction Waveguide Bragg gratings Background Simulation challenges and solutions Photolithography simulation Initial design with FDTD Band

More information

Consideration about wavelength allocation in O-band

Consideration about wavelength allocation in O-band IEEE P802.3ca -EPON Task Force meeting, Whistler Consideration about wavelength allocation in O-band Tomoyuki Funada May 24-25, 2016 Introduction 29dB channel insertion loss with 25Gbps/lane is challenging.

More information

Theory and Applications of Frequency Domain Laser Ultrasonics

Theory and Applications of Frequency Domain Laser Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Theory and Applications of Frequency Domain Laser Ultrasonics Todd W. MURRAY 1,

More information

Pulsed 1064nm / 1030nm Narrow Bandwidth FBG High Power Laser Diode Module

Pulsed 1064nm / 1030nm Narrow Bandwidth FBG High Power Laser Diode Module Pulsed 1064nm / 1030nm Narrow Bandwidth FBG High Power Laser Diode Module LC96A1064NBFBG-20R LC96A1030NBFBG-20R Features: High pulse output power, up to 1W peak Wavelength stabilized at 1064nm or 1030nm

More information

High-Coherence Wavelength Swept Light Source

High-Coherence Wavelength Swept Light Source Kenichi Nakamura, Masaru Koshihara, Takanori Saitoh, Koji Kawakita [Summary] Optical technologies that have so far been restricted to the field of optical communications are now starting to be applied

More information

Ultra-stable flashlamp-pumped laser *

Ultra-stable flashlamp-pumped laser * SLAC-PUB-10290 September 2002 Ultra-stable flashlamp-pumped laser * A. Brachmann, J. Clendenin, T.Galetto, T. Maruyama, J.Sodja, J. Turner, M. Woods Stanford Linear Accelerator Center, 2575 Sand Hill Rd.,

More information

Silicon nitride based TriPleX Photonic Integrated Circuits for sensing applications

Silicon nitride based TriPleX Photonic Integrated Circuits for sensing applications Silicon nitride based TriPleX Photonic Integrated Circuits for sensing applications Arne Leinse a.leinse@lionix-int.com 2 Our chips drive your business 2 What are Photonic ICs (PICs)? Photonic Integrated

More information

TL2 Technology Developer User Guide

TL2 Technology Developer User Guide TL2 Technology Developer User Guide The Waveguide available for sale now is the TL2 and all references in this section are for this optic. Handling and care The TL2 Waveguide is a precision instrument

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Vixar High Power Array Technology

Vixar High Power Array Technology Vixar High Power Array Technology I. Introduction VCSELs arrays emitting power ranging from 50mW to 10W have emerged as an important technology for applications within the consumer, industrial, automotive

More information

Displacement sensor by a common-path interferometer

Displacement sensor by a common-path interferometer Displacement sensor by a common-path interferometer Kazuhide KAMIYA *a, Takashi NOMURA *a, Shinta HIDAKA *a, Hatsuzo TASHIRO **b, Masayuki MINO +c, Seiichi OKUDA ++d a Facility of Engineering, Toyama Prefectural

More information

High-Resolution AWG-based fiber bragg grating interrogator Pustakhod, D.; Kleijn, E.; Williams, K.A.; Leijtens, X.J.M.

High-Resolution AWG-based fiber bragg grating interrogator Pustakhod, D.; Kleijn, E.; Williams, K.A.; Leijtens, X.J.M. High-Resolution AWG-based fiber bragg grating interrogator Pustakhod, D.; Kleijn, E.; Williams, K.A.; Leijtens, X.J.M. Published in: IEEE Photonics Technology Letters DOI: 10.1109/LPT.2016.2587812 Published:

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information