LPP EUV Source Development and HVM I Productization

Size: px
Start display at page:

Download "LPP EUV Source Development and HVM I Productization"

Transcription

1 LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander N. Bykanov, Georgiy O. Vaschenko Oleh V. Khodykin, Shailendra N. Srivastava, Imtiaz Ahmad, Chirag Rajyaguru Daniel J. Golich, Silvia De Dea, Richard R. Hou, Kevin O Brian, Wayne J. Dunsten

2 Contents Introduction to EUV LPP Product EUV Power and Dose Stability Debris Mitigation Droplet Generator 5sr Normal Incidence Collector Intermediate Focus Protection Cost of Operation HVM I Source Production Roadmap and Summary Acknowledgements 2

3 Introduction

4 Laser Produced Plasma Source Architecture (in vacuum, all reflective optics) Droplet Generator Source Chamber BTS Beam Transport System and Focusing IF Protection Collector 3 Stage CO 2 Laser Isolator Isolator 4

5 First LPP Source Completed Test and was Shipped to ASML LPP source vessel as installed at Cymer (left) Crated source vessel leaving Cymer (top) 5

6 First Source is Installed and Operational Droplet generation (30μm diameter) and laser targeting confirmed Control system is operational The source vessel is fully populated with all devices and sensors (functionality confirmed) Operational status is confirmed Performance optimization is in process 6

7 Laser Produced Plasma Performance Status Performance Current HVM I Target EUV power at Intermediate Focus 70W 100W CO 2 laser power and beam quality >10kW M 2 ~1.4 >10kW M 2 ~1.2 Dose stability during closed loop operation <±0.35% <±0.30% Debris mitigation effectiveness validation 5sr 5sr (full lifetime testing pending) Burst duration through entire scan time 400ms 400ms Duty cycle for high throughput operation 80% 90% Sn droplet diameter 30μm 30μm Collector reflectivity (area weighted ave. for unpolarized light) >50% >50% IF suppression (cleanliness) >10 10 >

8 EUV Power at IF and Dose Stability

9 In-Band EUV Power at I.F. (W) 70W EUV Power through 400ms Burst Duration July Results 40% duty cycle Time (sec) ~70W average EUV Power With fast transient decay Known cause 400ms burst duration 30μm droplet diameter In-band EUV Power at I.F. (W) September Results 60% duty cycle Time (sec) ~70W average EUV Power Without transient Known solution 400ms burst duration 30μm droplet diameter * Power is measured at plasma and calculated at IF using standard assumptions of 5 sr collection, 50% average reflectivity and 90% transmission 9

10 ~70W EUV Power at IF Continuous Operation 100 EUV Power at High Duty Cycle EUV IF power, W EUV Average EUV Maximum 60% duty cycle 400ms burst duration 30μm droplet diameter Droplet position control on Dose control off Time, min 70W at IF for 1 hour at 60% duty cycle ~ 150 kj per hr 24 hrs equivalent is 3.6MJ or 900 wafers per day (300mm, 10mJ/cm 2 ) 10

11 Closed Loop Dose Stability of <±0.35% meets Device Production Requirements In-band EUV Power at I.F. (W) Open Loop EUV Power in 10ms Window Time (ms) Open loop dose performance is <+/-11% (10ms window) In-Band EUV Power at I.F (W) Closed Loop EUV Power in 10ms Window Time (ms) Closed loop dose performance is <+/-0.35% EUV power level set point for closed loop control was 50W * Power is measured at plasma and calculated at IF using standard assumptions of 5 sr collection, 50% average reflectivity and 90% transmission 11

12 Debris Mitigation Validation

13 Debris Mitigation Protecting the collector from reflectivity degradation Change in Collector reflectivity is influenced by three factors: Fast ionic/neutral debris: causes sputtering/etching or implantation of/into collector surface (reflectivity degradation) Atomic debris: causes uniform layer deposition (absorption) MLM Coating Microparticle debris (droplets >100 nm): causes island growth (reflection degradation) MLM Coating MLM Coating 13

14 Hydrogen Buffer Gas Stops Ion Erosion and Implantation Simple, Effective, and Low Cost Laser Pulse Faraday Cup Signal, V E-3 H2 Pressure 0 P1 P2 P3 P3>P2>P E-3 tin ions electrons E-4 Reference Area Expose d Area E Sn Ion Energy, ev 2D reflectivity maps show <1% change between exposed and reference areas 2 hours exposure at 60W / 10% duty cycle 14

15 Hydrogen Buffer Gas Prevents Sn Deposition Simple, Effective, and Low Cost Without H 2 buffer gas the witness sample is opaque due to Sn deposition Glass witness sample placed at collector equivalent distance from plasma Testing verifies no net tin deposition using H 2 buffer gas 2 hours exposure at 8% duty cycle (30M pulses) Small droplets are the key to the success of this process With H 2 buffer gas the witness sample is transparent 15

16 5sr Far Field EUV Images at Low Duty Cycle Far Field EUV distribution taken at the beginning of the run with a fluorescence converter ~20W EUV exposure power Far field EUV distribution taken at ~4.5 hours into the run with a fluorescence converter ~20W EUV exposure power * Power in configuration with a 5 sr collector, measured at plasma assuming 50% average reflectivity and 90% transmission 16

17 5sr Far Field Images at High Duty Cycle Debris Mitigation System is Working Well 100 million pulses (2 hrs) 600 million pulses (9 hrs) 400 ms burst duration, 40% duty cycle, ~15W EUV Exposure Power Fluorescence converter limited to 40% duty cycle * Power in configuration with a 5 sr collector, measured at plasma assuming 50% average reflectivity and 90% transmission 17

18 Droplet Generator

19 Stable 30µm Droplets are Routinely Produced Droplet Positional Stability Dose Control Exposure Uniformity 30 µm droplets Droplet position, μm Droplet position, μm Time, sec Time, minutes Typical short term positional stability (no active stabilization) Typical long term positional stability (no active stabilization) 19

20 16µm Droplets Capability Recently Demonstrated Stable droplets with 16 µm diameter were produced for 200+ hours 16 µm droplets 200 µm Droplet Position, μm Position stability of the 16 µm droplets σ = 0.55 μm Time, sec 20

21 5sr Normal Incidence Collector

22 EUV Images of 5sr Collectors Collector 1 Collector 2 EUV image in the far field through IF was taken with a Zr coated fluorescence converter and CCD camera Second collector shows result of improved surface roughness, reflectivity and uniformity 22

23 5sr Collector Reflectivity Measurements Average Reflectivity Improved from 47% to 51% 51.0% average area-weighted reflectance for un-polarized light Improved from 47% on previous collector (shown at SPIE) Collector reflectivity measured at PTB using s-polarized light Sample reflectivity measured at PTB using un-polarized light Reflectivity of samples at each radius used to determine the collector reflectivity curve (red curve) for un-polarized light 23

24 MLM Coating Process Improvement Central Wavelength Meets Specification Center Wavelength wavelength [nm] collector # 2 collector # 3 collector # nm nm 13.5 nm nm substrate radius [mm] Please see PTB Poster 24

25 Intermediate Focus Protection

26 Source-Scanner Mechanical Interface Provides Cleanliness from Contamination Ar suppression Modeling Operating Region 10 6 RGA detection limit IFP Module Scaling H2 parameter Flow, slm (a.u.) Intermediate Focus Protection (IFP) is essential to keep contamination from passing through to the illumination optics Test data from our production system shows cleanliness with a standard aperture size is acceptable to the limit of RGA detection Ar gas used as probe gas to demonstrate suppression 26

27 HVM I Source Production

28 HVM I Source Production CLEANROOM Test bay area expanded Construction is complete Up to four systems can be built and operated in parallel HVM I Source module build and system integration are in process Multiple shipments planned in Q Source Vessel Drive Laser 28

29 Cost of Operation

30 LPP Source Electricity Cost Estimate Cost ($/yr) $220,000 $210,000 $200,000 $190,000 $180,000 $170,000 $160, Electrical Power (kw) Laser Power (kw) Cost ($/yr) Wall-Plug Power (kw) HVM I HVM II HVM III Power Consumption Total Source Module (kw) Availability / Utilization (Fully Utilized when Available) Source Operation Time (Percentage of Scanner Op Time) 85% / 100% 85% / 100% 85% / 100% 75% 60% 49% Electricity Cost* ($/kwhr) Cost ($/yr) $216k $197k $184k EUV electricity cost ~ 3X 193nm electricity cost * $0.07 $/kwhr Electricity Cost Nov 2008 (est.) - Energy Information Administration (Official Energy Statistics of US Government) 30

31 Roadmap and Summary

32 LPP EUV Source Roadmap EUV Source Power Roadmap HVM I HVM II HVM III Drive laser power (kw) >20 In-band CE (%) Collection Efficiency (sr) Collector Reflectivity (%) >60 >60 >60 Optical Transmission (%) Total EUV power at IF (W) >100 >200 >400 Laser Produced Plasma R&D HVM EUV Light Source Generations - Prototype shipment HVM I HVM II HVM III

33 Summary First source installed and operational at ASML Produced 50W EUV at IF in 400ms bursts at 60% duty cycle with <±0.35% dose stability Validated debris mitigation effectiveness on 5sr collector Hydrogen buffer gas provides simple, effective and low cost debris mitigation to both stop ions and prevent Sn deposition Collector reflectivity and uniformity has improved to >50% Droplet diameter shrink down to 30μm in production Production of multiple HVM I sources is on schedule to deliver to ASML as planned 33

34 Acknowledgements Please see our Poster #69 and Papers of our development partners ASML Erik Loopstra, Uwe Stamm, Christian Wagner, Noreen Harned University of Illinois at Urbana Champaign David N. Ruzic, Martin J. Neumann, R. E. Lofgren University of Central Florida Martin Richardson, Simi George University of California at San Diego Mark Tillack, Yezheng Tao Fraunhofer Institut f. Angewandte Optik und Feinmechanik Torsten Feigl, Hagen Pauer, Marco Perske, Sven Schröder, Sergiy Yulin Lawrence Berkeley National Laboratory Eric Gullikson, Farhad Salmassi Physikalisch-Technische Bundesanstalt Frank Scholze, Christian Laubis, Christian Buchholz National Institute of Standards and Technology Steven Grantham, Charles Tarrio 34

35

CO 2 / Sn LPP EUV Sources for device development and HVM

CO 2 / Sn LPP EUV Sources for device development and HVM CO 2 / Sn LPP EUV Sources for device development and HVM David C. Brandt, Igor V. Fomenkov, Nigel R. Farrar, Bruno La Fontaine, David W. Myers, Daniel J. Brown, Alex I. Ershov, Richard L. Sandstrom, Georgiy

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

LPP collector mirrors coating, metrology and refurbishment

LPP collector mirrors coating, metrology and refurbishment LPP collector mirrors coating, metrology and refurbishment 2013 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler optix fab GmbH Christian Laubis,

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling Igor Fomenkov ASML Fellow 2017 Source Workshop, Dublin, Ireland, November 7 th Outline Slide 2 Background

More information

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Exposure Tools for HVM: It s Under (and About) Control EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016 ASML at a EUV Source Workshop Slide 2 The position of EUV

More information

1 st generation Laser-Produced Plasma source system for HVM EUV lithography

1 st generation Laser-Produced Plasma source system for HVM EUV lithography 1 st generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi *1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta, Tsukasa Hori, Akihiko Kurosu, Hiroshi Komori,

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Multilayer Collector Optics for Water Window Microscopy

Multilayer Collector Optics for Water Window Microscopy Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography. Igor V. Fomenkov, Richard M. Ness, Ian R. Oliver, Stephan T. Melnychuk, Oleh V. Khodykin, Norbert R. Böwering, Curtis L.

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006

EUV Source Workshop. Organization Committee. Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 EUV Source Workshop Agora 2, World Trade Center Barcelona, Spain, October 19, 2006 Organization Committee Vivek Bakshi (Chair, SEMATECH), Vadim Banine (ASML), Akira Endo (EUVA), Igor Fomenkov (Cymer),

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source

PROCEEDINGS OF SPIE. Performance of one hundred watt HVM LPP-EUV source PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Performance of one hundred watt HVM LPP-EUV source Hakaru Mizoguchi, Hiroaki Nakarai, Tamotsu Abe, Krzysztof M Nowak, Yasufumi

More information

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography Akihiko Kurosu, Masaki Nakano, Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki,

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

APRAD SOR Excimer group -Progress Report 2011-

APRAD SOR Excimer group -Progress Report 2011- APRAD SOR Excimer group -Progress Report 011- The DPP EUV source activity During 011 the work on the DPP (Discharge Produced Plasma) source of Extreme Ultraviolet (EUV) radiation has been devoted to a

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold Infrared wire grid polarizers: metrology, modeling, and laser damage threshold Matthew George, Bin Wang, Jonathon Bergquist, Rumyana Petrova, Eric Gardner Moxtek Inc. Calcon 2013 Wire Grid Polarizer (WGP)

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information

COMPACT Diode Laser System (Water-Cooled)

COMPACT Diode Laser System (Water-Cooled) COMPACT Diode Laser System (Water-Cooled) Easy-to-integrate CW system consists of a compact 19 (11HU including water-air-chiller), rack-mountable chassis and metal-armored fiber. Can be combined with DILAS

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

IN-LAB PELLICLE METROLOGY CHALLENGES

IN-LAB PELLICLE METROLOGY CHALLENGES IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University 04.10.2015, Maastricht Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics Rashi Garg 1, Nadir Faradzhev 2, Shannon Hill 3, Lee Richter 3, P. S. Shaw 3, R. Vest

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

CXRS-edge Diagnostic in the Harsh ITER Environment

CXRS-edge Diagnostic in the Harsh ITER Environment 1 FIP/P4-17 CXRS-edge Diagnostic in the Harsh ITER Environment A.Zvonkov 1, M.De Bock 2, V.Serov 1, S.Tugarinov 1 1 Project Center ITER, Kurchatov sq.1, Building 3, 123182 Moscow, Russia 2 ITER Organization,

More information