0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

Size: px
Start display at page:

Download "0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY"

Transcription

1 .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV De Run 111, 553 LA, Veldhoven, The Netherlands Peter Rümmer Carl Zeiss D Oberkochen, Germany This paper was first presented at the SPIE Symposium on Optical Microlithography March 1999, Santa Clara, California, USA

2

3 .7 NA DUV STEP & SCAN SYSTEM FOR 15nm IMAGING WITH IMPROVED OVERLAY Jan van Schoot, Frank Bornebroek, Manfred Suddendorf, Melchior Mulder, Jeroen van der Spek, Jan Stoeten and Adolph Hunter ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Peter Rümmer Carl Zeiss, D Oberkochen, Germany ABSTRACT To extend KrF lithography below the 18nm SIA design rule node in manufacturing, an advanced DUV Step & Scan system utilizing a lens with an NA up to.7 will be required to provide sufficient process latitude [1]. Towards the SIA s 15nm design rule node, manufacturing challenges for 248nm lithography include contact hole printing, iso-dense bias control and adequate across the field CD uniformity. All will benefit from higher NA lenses. In this paper, results obtained on a PAS 55/7B DUV Step & Scan system are presented. The system design is based on the PAS 55/5 [2, 3] with a new.7na Starlith lens, AERIAL II illuminator and ATHENA advanced alignment system. Imaging of dense and isolated lines at 18nm, 15nm and below as well as 18nm and 16nm contact holes is shown. In addition to imaging performance, image plane deviation, system distortion fingerprints, single-machine overlay and multiple-machine matching results are shown. Using the ATHENA alignment system, alignment reproducibility as well as overlay results on CMP wafers will be shown. It is concluded that this exposure tool is capable of delivering imaging and overlay performance required for mass production at the 15nm design rule node, with potential for R&D applications beyond. 1. INTRODUCTION The announcement of the 1997 National Technology Road Map for Semiconductors brought into sharp focus many of the challenges which IC manufacturers and equipment manufacturers together will have to overcome. Compared with the 1994 version of the road-map, the timing for first production output of several nodes had been accelerated. See Figure 1. Year: NTRS'94 NTRS'97 1/2 pitch* Isol line* Figure 1 inserted * Dimensions for minimum half pitch and isolated line in nm The SIA Roadmap for Semiconductors. In particular the First Product Shipment Technology Generation date for 18nm devices had been advanced from 21 to 1999, 13nm Technology I ILL Generation from 24 to 23, and a new node for a 15nm Technology Generation first shipment in 21 was introduced for the first time. In October of 1998 a proposal for further acceleration was made when First Product Shipment was revised to Year of First Volume Shipment, with the request for development capability to be available 2-3 years earlier [4]. The aggressive acceleration of the road-map paves the way for a rapid transition from 18nm to 15nm production. The timing of which can only be met by the extension of KrF DUV lithography beyond it s previously recognized application limit of 18nm. The new timing essentially validated the already on-going development of a new generation of high NA DUV exposure tools. To meet the technological and timing requirements of the road-map, IC manufacturers require a step and scan tool which is capable of 15nm production and available for R&D and pilot production in the first half of Optical system design and dynamic stage control critically determine the resulting imaging performance of step and scan lithography systems. High NA lenses capture more of the higher orders diffracted by the reticle, thus allowing for a more precise reconstruction 1

4 of the pattern from the reticle. This results in a higher resolution, a larger exposure latitude, a smaller iso-dense bias, a smaller Mask Error Factor [12] etc. Regarding required lens NA, simulation studies using Solid C, full resist model show that for 15nm L/S, maximum Exposure Latitude (EL) is optimal at NA>.68, see Figure 2. In Figure 3 the Iso-Dense bias, calculated using the same model, is shown. It is also clear here that for 15nm production, DUV tools with higher NA capability are required. The PAS 55/7B utilizes the PAS 55 architecture and dynamic stage control systems proven in other ASML Step & Scan tools. Commonality in architecture between the PAS 55/7B and other ASML Step & Scan tools facilitates an economical fab mix for critical, non-critical and back-end layer exposures. Wafer stage scanning speeds of up to 25mm/s are combined with on-the-fly levelling, while the ATHENA advanced alignment system, together with the existing Through-The-Lens (TTL) Phase Grating Alignment (PGA) system enables the PAS 55/7B to deliver the required overlay performance. In this paper we describe the major system enhancements of the PAS 55/7B namely the Projection Lens, AERIAL II Illuminator and ATHENA module. Finally imaging and overlay results that demonstrate the 15nm production capability of the tool are presented. 2. GENERAL SYSTEM DESCRIPTION The major new components of the PAS 55/7B Step & Scan system are a 4X, NA =.7 projection lens, AERIAL II high transmission illuminator and ATHENA advanced alignment system. The new Starlith 7 lens is a variable.7 -.5NA lens. Printing at a lower K 1 factor, even at.7na, requires a projection lens with low aberrations. Due to enhanced manufacturing methods, the lens specifications are improved compared to earlier DUV lens designs. The new AERIAL II illuminator is based on the illuminator developed for the PAS 55/9 193nm Step & Scan system [5]. Imaging performance of a scanning system is strongly determined by the synchronization between reticle and wafer stage during exposure [6]. Stage synchronization is characterized by Moving Standard Deviation (MSD) and Moving Average (MA) of the relative position of both stages over the time window in which each image point travels across the illumination slit width. MA represents a real stage error and contributes to the image distortion and overlay budget. MSD describes the loss of contrast (fading) of the aerial image that results from poor scan synchronization. Figure 4shows the results of simulations for the relation between CD uniformity and MSD for various resolutions. Simulations were performed using Solid C. The aerial image is convoluted with the Gaussian distributed positioning error. Also z-fading is taken into account at a fixed value of MSD z = 4nm. For the development a Weiss model is used with a resist contrast of 9. Exposure variations of ±4% around Best Energy are introduced in order to incorporate exposure and reticle errors, and thickness variations of the photoresist layer. The CD-range at MSD= is taken as a reference. A lens/illuminator setting of NA =.7, σ =.85/.55 is assumed. Decreasing the NA will enlarge the influence of MSD, especially on the dense lines I ILL.85.8 I-127.ILL outer Figure NA Maximum Exposure Latitude for 15nm dense lines outer Figure NA Iso-Dense Bias for 15nm dense lines. 2

5 These simulations show that low MSD is a major prerequisite for good imaging at low resolutions. For the PAS 55/7B further improvements are achieved to bring the MA back to 5nm and MSD to 15nm so together with the higher NA lens, 15nm is within the range of the system. Figure 5 shows peak MA and MSD values measured on a PAS 55/7B system. Data is collected over 7 wafers at the maximum Wafer Stage scan speed of 25 mm/s. Table 1 shows the maximum, mean and mean + 3σ values. MA-X MA-Y MSD-X MSD-Y max: mean: m + 3σ: Table 1 Maximum, Mean and Mean + 3σ formaand MSD over 7 wafers per die at maximum speed. Contribution to CDU [nm] Dense lines Isolated lines 13nm 15nm 18nm MSD [nm] Figure 4 MSD contribution to CD-uniformity. For features below 18nm, MSD values greater than 2nm have a severe impact on the CD-uniformity. I-1271.ILL The requirement for 15nm resolution as defined by the SIA roadmap is 5nm for matched machine overlay. From this a single machine overlay of 35nm can be derived. The PAS 55/7B is equipped with ASML s (TTL) Phase Grating Alignment system and the ATHENA alignment system, ASML s advanced Phase Grating Alignment system [7]. ATHENA has been developed as an addition to the current TTL alignment system to meet the current and future overlay requirements especially on processed CMP layers. ATHENA is used to align the wafer with respect to the wafer stage. The TTL system aligns the reticle to the wafer stage. ATHENA is able to collect independently the signals of 7 diffraction orders at 2 different wavelengths (633nm & 532nm). PAS 55 /7: WR peak MA-X & MA-Y I-1272.ILL PAS 55 /7: WR peak MSD-X & MSD-Y I-1279.ILL Figure 5 Peak MA and MSD values in X and Y over 7 wafers at maximum speed. 3

6 Using 2 lasers eliminates destructive interference that may occur in deep marks or on marks covered with multiple process layers. Additionally, it enables a design of an optical system that detects each diffraction order on a separate detector. The combination of high power lasers and separate detection of orders also guarantees sufficient signal strength at shallow marks. Per wafer mark up to 14 aligned positions, each associated with an individual diffraction order, can be determined. Alignment recipes can select the optimum combination of these positions yielding accurate alignment. This flexibility makes ATHENA adaptable to any wafer process. A standard set of recipes is supplied. An established application procedure enables the user to further optimize a standard recipe. For both the ATHENA and TTL systems, improved electronics and software have been developed. Data acquisition and data processing are now performed in parallel enhancing throughput by up to 8%, enabling a throughput of 14 wafers/hour (46 exposures, 16x33mm field, 3mJ/cm 2 ). The ATHENA sensor is mounted just above the wafer plane. A single frame made out of very low expansion material holds the optical elements as depicted in Figure 6. Baseline stability is maintained by referencing to a fixed target on the wafer stage, that is also measured by the TTL alignment system. The lens system images all diffraction orders on individual references on a single plate to guarantee mutual stability. The beam splitter separates both wavelengths and the subsequent special optical components direct every pair of diffraction orders towards its own reference grating. Aberrations of the alignment optics can easily be corrected for by applying software off-sets to the individual signals. The detected light is transmitted towards the detectors which have been integrated with the electronics that process the electrical signals. A CCD camera provides a monitor image for visual inspection. Detectors I-1273.ILL Modulator Wavelength 1 Wafer mark CCD Wavelength 2 Modulator Detectors Figure 6 ATHENA hardware. On the right side, both lasers have been schematically depicted. Fibers guide the laser light towards the ATHENA optical module (left hand side). Diffraction orders as reflected by the wafer target are imaged upon separate references. Subsequently, the light is transferred to a series of detectors. 4

7 The two lasers are contained in a separate box with cooling fans and optical isolators to guarantee their stability. The laser light is modulated and polarized. Lasers, CCD camera and electronics are placed at remote locations where they are not able to influence the temperature stable environment of the ATHENA optical module. Alignemnt reproducibility [StDev, nm] Figure 7 Aligned Position [nm] Figure 8 Alignment Reproducebility [StDev, nm] Figure 9 All 1st 3rd 5th 7th Diffraction Order (Red and Green) ATHENA alignment reproducibility for each of the different diffraction orders Time [min] ATHENA long term stability Relative Alignment Signal ATHENA dynamic range. 1 st order 3 rd order 5 th order 7 th order I-1274.ILL I-1275.ILL I-1276.ILL 1 The basic ATHENA performance (as measured on a PAS 55/5) can be expressed in alignment reproducibility per order, long-term stability and dynamic range, see Figures 7 to 9. Single-machine overlay and overlay performance on processed (CMP) wafers will be presented in section THE PROJECTION SYSTEM 3.1 Design goals optical column Projection lens The Starlith 7 projection lens surpasses the Starlith 5 lens design [2] by an increased NA of.7 and improved imaging quality. In addition to a reduction of the distortion, focal plane deviation and telecentricity values the lens was re-engineered with respect to wavefront variance. The design part of the wavefront aberrations budget could be reduced by more than 5% compared to the Starlith 5 lens used in the PAS 55/5 KrF step and scan system. The excellent wavefront correction has two advantages: firstly it results in a very uniform imaging behavior for a wide range of NA and σ settings for partial coherent imaging. Secondly the contrast is constant within a few percent over the full field. Both small FPD values and high contrast over the field are fundamental to 15nm imaging capability. During the design process special care has been taken in order to achieve small incidence angles on the individual lens surfaces. This eases both lens assembly and lens element coating technology resulting in an improved lens performance Illumination system The design goals for the PAS 55/7B-illuminator were threefold: Maximize the light intensity on wafer level by using an improved optical design with fewer elements and shorter path length in optical materials as well as improved anti-reflective and high-reflective coatings. For forming the pupil shape and the illumination field, diffractive optical elements have been developed which guarantee high efficiencies. A high illuminator lifetime is obtained by using selected quartz and CaF 2 -material for some lens elements and for the light mixing rod. Additionally, the whole illumination system is purged with nitrogen gas in order to prevent chemical and physical contamination. 5

8 Facilitate illumination settings over a full range of coherence and annularity as well as quadrupole settings without appreciable loss of efficiency. The quadrupole illumination modes can be realized by using specific diffractive optical elements. The big advantage of this approach is that shaping of the poles is done with an efficiency of almost 9%. The general layout of the optical path is presented in Figure 1, showing the following illumination components: The KrF-laser, line-narrowed to.6 pm and able to be operated up to 1 khz and 1 mj/pulse (1 W). The beam delivery system consists of a beam expander, bending points and an automated beam measuring and beam steering unit. The system is able to transport the laser beam over a maximum distance of 2 m. The illuminator with pupil shaping optics, to create conventional, annular and quadrupole illumination modes. The energy sensor used as dose control unit and located behind a partly transmitting mirror. The integrator rod for creating a uniform illumination field. The internal REticle MAsking (REMA) unit, which allows selection of required reticle areas. The REMA-lens, which images the created slit and the internal masking blades onto the reticle. 3.2 Manufacturing issues To develop a DUV system for 15nm imaging, lens adjustment methods were also improved. The approach at Carl Zeiss is based on the quantitative characterization of all relevant lens aberrations across the image field by a set of model functions discharge chamber line narrowing optics beam expander optics rema lens entrance group rema lens intergrator rod energy sensor incouple group filter internal rema pupil shaping optics I ILL bending point(s) projection lens beam stearing beam delivery Figure 1 Optical layout of the PAS 55/7B system. 6

9 implemented on the system qualification equipment as an integral part of the adjustment process. This allows for a systematic separation between the various orders of rotational symmetric and non-symmetric aberrations. These aberrations can then be corrected for by appropriate adjustment actions optimizing the convergence of the adjustment quality. The rotational symmetric aberrations are adjusted close to the design values by varying the spacing between individual lens elements. Further significant improvement was achieved by minimizing the non-rotational symmetric contributions with respect to the scanner slit geometry using dedicated algorithms to predict the best orientation of the optical elements. This advanced adjustment approach not only helps to ensure the production of high-quality lenses at high output rates, but also assists in decreasing Ramp-Up time for new systems like the Starlith 7 lens. Implementation of computer-aided processes throughout the whole production process has been essential in achieving the volume production of 15nm DUV systems. 3.3 First system results The Starlith 7 lens system shows improved optical properties compared to the NA =.63 Starlith 5 and 55 lenses. Figure 11 shows the results of lens qualification measurements done at Carl Zeiss (scaling: Starlith 5 lens = 1%, Starlith 7 data averaged over 6 lenses). 1 % 8 % 6 % 4 % 2 % % Zernike coefficients Wavefront RMS Integrated FPD Integrated Astigmatism Integrated Distortion Starlith 5 Starlith 55 Starlith 7 Figure 11 Three generations of DUV projection lenses. (Scale: Starlith 5 lens = 1%). I-1277.ILL Maximum Zernike coefficients (lower coma, spherical aberrations and threewave aberrations) measured by TTL interferometry over the used field are shown, as well as the maximum of the wavefront RMS. Also shown are the maximum aberrations of the aerial image for focal plane deviation, astigmatism and distortion integrated in scanning direction. The progressive reduction of the wavefront aberrations has been achieved by extensive use of TTL interferometry during the adjustment process. A large number of individual Zernike coefficients are controlled. This leads to a.7 NA lens with improvements of more than 3% compared to a typical Starlith 5 lens at NA =.63. Similarly, the lens contribution to IPD, Astigmatism and Distortion has been reduced by up to 4% compared to the Starlith 5 lens. 4. IMAGING RESULTS 4.1 Distortion and Focal Plane The optical performance of the system has been measured in terms of image distortion, focal plane and astigmatism. Both static and dynamic (scanning) results are shown in this section, representing projection lens and system performance respectively. Test wafers were exposed and measured using the TTL alignment system of the PAS 55/7B. In static mode, measurements were taken at 13 x 3 locations in the illumination slit. As the intensity distribution in the illumination slit is trapezoidal in the scanning direction, static measurements are restricted to an area in the centre of the slit, where the intensity is constant. At small sigma settings, this area of constant intensity is increased and thus allows the largest number of sample points. The full imaging field (26mm x 33mm) is used for dynamic measurements where 13 x 19 locations are sampled. The usual corrections for residual adjustable parameters (static and dynamic) have been applied [2] Image plane The FOCAL technique [9] was used to determine focal plane and astigmatism. Static and dynamic results are shown in Figures 12 to 15. A visual comparison of the lens and system fingerprints clearly reveals the similarity between static and scanning results. This 7

10 indicates that scanning induced fading is minimal and that the machine contribution to the system fingerprints is small. A summary of results can be found in Table 2. The Image Plane Deviation (IPD), defined as the total range of any focal position for horizontal and vertical lines within the field, is given. 1.8 Y [mm ] X [m m] I-1271.ILL BF [nm] Y [mm ] X [mm] I ILL BF [nm] Figure 12 Static focal plane measured in the illumination slit showing the average for horizontal and vertical lines, 39nm peak-to-valley, FPD = 78nm (NA =.7, σ =.33). Figure 14 Image plane of the PAS 55/7B measured over the full scanned image field. The Image Plane Deviation (IPD) is 98nm (NA =.66, σ =.65). 1.8 Y [m m] Figure X [mm ] AST -3 [nm] -5-7 Static astigmatism in the illumination slit. The maximum astigmatism in the measured field is 65nm (NA =.7, σ =.33). I ILL Y [mm] Figure X [m m] AST [nm] -5-1 Astigmatism of the PAS 55/7B over the full, scanned image field. The maximum astigmatism is 69nm (NA =.66, σ =.65). 5 I ILL 8

11 4.1.2 Image distortion Figure 16 shows the static distortion in the illumination slit. The maximum Non-Correctable Error (NCE) is 7nm and 12nm in X and Y direction respectively. The dynamic distortion over the whole scanned image field is shown in Figure 17. This vector plot represents the system fingerprint for distortion. The maximum NCE is 13nm in both X and Y directions. In Table 2, we have summarized Image Plane and Distortion results obtained at a number of different illumination settings. The spread over the settings used is minimal. The change in image distortion due to the use of different NA/σ settings can be an important contributor to overlay in device applications. Figure 18 shows a plot where we have superimposed the image distortion I-1278.ILL Table 2 Summary of Image Plane and Distortion results. The table also gives the largest distortion vector difference between any of the settings measured. Figure nm NCEmax X = 7 Y = 12 Static distortion in the illumination slit. The maximum Non-Correctable Error (NCE) is 12nm (NA =.7, σ =.33). NA=.66 =.65 I ILL Illumination Setting IPD Ast. NCE Max. vector difference [nm] # NA σ (σ out /σ in ) [nm] [nm] [nm] #5 #4 #3 # / / I ILL nm NCEmax X=13 Y= Figure 17 Dynamic (system) distortion of the PAS 55/7B over the full, scanned image field, for a conventional illumination setting (NA =.66, σ =.65). The maximum NCE is 13nm nm Figure 18 Superimposition of vector plots of the image distortion for five different conventional and annular illumination settings (see also Table 2). 9

12 vector plots for the illumination settings as listed in Table 2. The maximum non correctable distortion difference between any of the settings measured is only 8nm Resolution The PAS 55/7B imaging performance with 1:1 lines and spaces has been evaluated using photoresist C and Clariant AZ-DX331P photoresist. For the evaluation of contact holes TOK DP15 photo resist with a thickness of.68µm has been used. Process conditions are summarized in Table 3. The photoresist was processed using FSI Polaris wafer tracks. The system is purged with activated charcoal filtered air to prevent adverse process effects from airborne base contamination. Although the process tracks and the exposure tools are not interfaced, the time between coating, exposing and developing was kept below 2 minutes. Measured CD [nm] +1% 2-1% nominal CD [nm] I ILL SEM analysis was done with AMAT 783SI and Hitachi S-8C4 CD-SEM s for top down automated CD measurements and a Hitachi S-78H SEM for tilted inspection and photographs of the resist profiles. Figure 19 Linearity on photoresist C on Organic BARC for dense (1:1) lines, NA =.7, σ =.85/.55. Description Conditions Photoresist DX-331P TOK DP15 photoresist C Thickness.4/.5µm.68µm.56/.4µm AR2 or BARC SiON Softbake temperature Softbake time PEB temperature Using photoresist C on Organic BARC, the linearity was measured in the center of the scanned field with the best focus and best energy selected. Results for both dense (1:1) and isolated lines are shown. The NA was.7 and the annular partial coherence was.7/.4. Figures 19 and 2 show linear behavior down to 13nm with the used resist process. - Organic BARC 9 C 8 C 1 C 6s 9s 9s 11 C 11 C 11 C PEB time 9s 9s 9s Developer OPD-262 OPD-262 OPD-262 Develop Time 6s 6s 6s Table 3 Photoresist process conditions. Measured CD [nm] 3 2 Figure 2 +1% -1% nominal CD [nm] Linearity on photoresist C on Organic BARC for isolated lines, NA =.62, σ =.85/.55. Figures 21 and 22 show top-down SEM photographs of lines/spaces and Depth of Focus (DoF) with resolutions ranging from 15nm to 11nm using binary masks without OPC in order to demonstrate the pure I ILL 1

13 tool capability. Also 15nm isolated lines are shown. The illumination mode was annular for all cases. At the selected apertures and ring widths, the depth of focus is.8µm for 15nm dense lines, reducing to.5µm for 13nm dense lines. For 15nm isolated lines, the observed DoF is.5µm. At the bottom of Figure 22, it is shown that even a 11nm dense line pattern is resolved. For the used lens and illuminator setting, less than 1% of the light in the first orders is captured by the lens, proving the nearly perfect image transfer by the optical and mechanical systems. In Figure 23, SEM pictures of cross sections of dense lines are shown, NA =.66, σ =.75/.45 for the 15nm exposure. All other pictures are obtained using NA =.7, σ =.85/.55. Figure 24 shows top-down SEM pictures of 18nm and 16nm dense contact holes. The setting used was NA =.7, σ =.85/.55, the observed DoF is.8µm for 18nm contacts, and.5µm for 16nm contacts. Note the roundness of the contact holes which illustrates good dynamic stage control during scanning. All pictures shown in Figures are made with binary reticles without OPC, in order to show the pure tool behavior. CD-uniformity is an important measure for characterizing step and scan systems. The CD-uniformity of 18nm and 15nm lines and spaces are measured, and the results are summarized in Table 4. In order to reduce SEM and process contributions, six fields across the wafer are averaged at each field position. 15 nm Dense Lines, NA =.66, σ=.75/ BF nm Isolated Lines, NA =.62, σ=.85/ BF Figure 21 Top-down SEM photographs of 15nm dense and isolated lines. 11

14 14 nm Dense Lines, NA =.7, σ=.85/ BF nm Dense Lines, NA =.7, σ=.85/ BF nm Dense Lines, NA =.7, σ=.85/ BF nm Dense Lines, NA =.7, σ=.85/.55 BF Figure 22 Top-down SEM photographs of dense lines ranging from 14nm down to 11nm. 12

15 15nm 14nm 13nm 12nm Figure 23 Cross section SEM photographs of dense lines ranging from 15nm to 12nm. Exposed using Clariant AZ DX-331P on a polysilicon - SiON film stack. 18nm Contacts, NA=.7, σ=.85/.55 BF -.4 BF -.3 BF BF +.3 BF nm Contacts, NA=.7, σ=.85/.55 BF -.3 BF -.2 BF BF +.1 BF +.2 Figure 24 Top-down SEM photographs of 18nm and 16nm dense contact holes. 12nm Dense Lines, NA=.7, σ=.315 Figure 25 BF -.3 BF BF nm Dense Line pattern using Levenson type PSM on Clariant, on top of a SiON BARC/poly Si. 13

16 Feature 18nm DL 18nm iso 15nm DL 15nm DL* 15nm iso Setting NA =.6, σ =.7/.4 NA =.56, σ =.6/.3 NA =.66, σ =.75/.45 NA =.7, σ =.85/.55 NA =.62, σ =.85/.55 CD-uniformity ±.1 µm ±.2 µm ±.3 µm *Quadrupole illumination has been used Table 4 CD-uniformity 3σ values for 18nm and 15nm dense and isolated features at best focus and over a focus range. Especially in the case of Dense Lines the reticle contribution dominates the total measured CD variation at best focus. The reticles used for these experiments are specially designed to minimize the reticle CD variation contribution using the Picked CD technique [1]. For the 15nm dense lines, the reticle CD distribution has a 3σ of 15nm. The Mask Error Factor (MEF) [11, 12] has been obtained experimentally and equals 3.2. As a result, the expected 3σ at wafer level is 12nm (15/4 *3.2). From this it can be concluded that the reticle is responsible for approximately 8% of the entire CD uniformity. 5.2 Single Machine Overlay Figure 26 shows the single machine overlay performance at full scan speed (25mm/s). On 8 wafers, a layer of 2 full 26mm x 33mm fields was exposed. After reloading the wafers in the machine and aligning them, an identical second layer was exposed. The maximum measured 99.7% single machine overlay error between both layers was 14 and 18nm for X and Y, respectively. The bell -shape of the Gaussian curve for the results in both the X and the Y direction is well preserved. Count Overlay [nm] Figure 26 Single machine overlay at full scan speed: X = 14nm, Y = 18nm (99.7% of the data, 8 wafers, 2 fields/wafer, 49 points/field). In Figure 27, a detailed picture is shown of the overlay errors of the first wafer. The errors are scaled with respect to the single machine overlay specification of 4nm (lower right corner). 1 X Y I ILL I ILL Finally, in Figure 25 we show 12nm dense lines with a focus range of.6µm. These were obtained using an attenuated PSM. The asymmetry of the spaces is a well known effect using PSM and is due to reticle effects [13]. 5. OVERLAY PERFORMANCE 5.1 Introduction Nominal Position Y [mm] 5-5 In this section, the single machine overlay performance of the PAS 55/7B and the matched machine overlay performance of the PAS 55/7B to the PAS 55/5 and to the PAS 55/4 (I-line scanner) are presented. Additionally, results are presented using the ATHENA system. 4 nm Nominal Position X [mm] Figure 27 Wafer plot of the first of 8 overlay wafers, showing 49 overlay vectors per field 14

17 5.3 Matched Machine Overlay The matched machine overlay performance to the PAS 55/4 I-line scanner has been investigated. To get the PAS 55/7B in a matched state to the PAS 55/4 DUV Step & Scan tool, the lens errors of the PAS 55/7B system were minimized with respect to the PAS 55/4 lens using reference wafers exposed on the PAS 55/4. After putting the system in the matched state, a verification was performed using 3 wafers. For each wafer, the first layer was exposed on the PAS 55/4 and the second layer was exposed on the PAS 55/7B, using a wafer layout consisting of 12 fields. The maximum measured 99.7% matched overlay error between both layers was 49nm in X and 58nm in Y. In Figure 28, the overlay performance measured at 25 points per field is shown. The overlay errors for this experiment were 38nm in X and 44nm in Y. Count X Y I-1273.ILL Count X Y Overlay [nm] Figure 29 ATHENA single machine overlay (max vectors X = 12mm, Y = 11mm). Experiments [7] have proven that high spatial frequencies of the ASML alignment target are less affected by CMP than the low ones. High spatial frequencies are directly associated with high diffraction orders. To improve the accuracy on marks that have been polished asymmetrically due to CMP, ATHENA uses (a combination of) high orders. Figure 3 shows a wafer plot of a W-CMP polished wafer illustrating the alignment vectors compared to cleared marks (which are not affected by the CMP process) used as a reference. The improvements when using higher order diffraction compared to first order are clear. I ILL Overlay [nm] Figure 28 Matched machine overlay of the PAS 55/7B to a PAS 55/4 system: maximum error in X = 38nm, in Y = 44nm (for 99.7% of the data, using 3 wafers, 12 fields/wafer, 25 points/field). 1 ST ORDER ALIGNMENT RAW DATA 1 ST ORDER ALIGNMENT WITH PROCESS CORRECTIONS 7 TH ORDER ALIGNMENT RAW DATA I ILL 5.4 ATHENA The ATHENA alignment system as described in section 2 has been designed to increase the alignment process latitude. First, single machine overlay has been measured using ATHENA, see Figure 29. Figure 3 1 nm 1 nm 1 nm Wafer plots of a W-CMP wafer using 1st and 7th order alignment. ATHENA overlay on W-CMP wafer has been verified on a test lot of 8 wafers. The first layer is exposed on a PAS 55/2 i-line stepper. The 2nd layer is exposed on a scanner after aligning with the 7th order of the red 15

18 laser. KLA511 measurements are presented in Figure 31. After subtraction of the correctable terms, residuals remained in the X and Y direction of 51nm and 44nm, respectively. More details can be found in [7]. Count X Y Overlay [nm] Figure 31 ATHENA overlay on CMP Residuals of 8 wafers, 25 points per wafer, max X: 51nm, max Y: 44nm. 6. CONCLUSIONS In this paper we have described the requirements for achieving 15nm lithography with KrF step and scan machines. These can be summarized as: a variable high NA lens (NA =.7) excellent stage synchronization (MSD < 15nm) overlay capability on advanced layers (e.g. W-CMP). The PAS 55/7B has shown.8µm DoF for 15 nm dense lines,.8µm DoF for 18 nm contact holes and.5µm DoF for 16 nm contact holes. The CD uniformity is 14nm 3σ for 15 nm dense lines. An overlay of 51nm has been obtained on W-CMP processed layers. The results at resolutions from 13nm down to 11 nm indicate that the described system has good potential for extending applications to resolutions of 13nm and below. ACKNOWLEDGEMENTS The authors would like to thank Tammo Uitterdijk, Louis Jorritsma, Dennis Faas, Henry Megens, Geert Simons, Walter Schuller, Paul Hinnen, Frank Commissaris, Wilfred Kauffeld and Wil Pijnenburg for data gathering and analysis, Koen van Ingen Schenau and Stephan Sinkwitz for process setup and Yin Fong Choi, Jenny Swinkels, Ingrid Janssen and Paul Luehrmann as well as Patrick Jaenen from IMEC, Belgium for SEM support. I ILL Many thanks also to The Zeiss Project Team as well as Philips Research, for their work on the ATHENA alignment system and the alternating Phase Shift Mask. We thank all members of the PAS 55 Project at ASML. Finally, we would like to thank Bob Simpson and Frank Harmsen for their help with the publication of this manuscript. REFERENCES [1] W. Maurer et al., Pattern transfer at k 1 =.5: get.25um lithography ready for manufacturing, Proc. SPIE, Vol. 2726, 1996, pp [2] G. de Zwart et al., Performance of a Step & Scan system for DUV lithography, Proc. SPIE, Vol. 351, 1997, pp [3] J. van Schoot et al., Advanced imaging and overlay performance of a DUV Step & Scan system, Proc. Semicon Korea, [4] National Technology Roadmap for Semiconductors: Technology Needs, Rev 1/7/98. [5] J. Mulkens et al., ArF step and scan exposure system for.15 µm and.13 µm production nodes, proc. SPIE, Vol. 3679, [6] A. Erdmann et al., Lithographic process simulation for scanners, Proc. SPIE 3334, 1998, pp [7] J.H.M. Neijzen et al., Improved overlay performance using an enhanced phase grating adjustment system, proc. SPIE, Vol. 3677, [8] G.Davies, J.Stoeldraijer, H.Glatzel et al., 193nm Step and Scan Lithography, SEMI Technology Symposium 98, Chiba, Japan. [9] P. Dirksen, Latent image metrology for production of wafer steppers, proc. SPIE, Vol. 244, 1995, pp [1] J. Waelpoel, J. van Schoot and A. Zanzal, Demonstrating next generation CD uniformity with today s tools and processes, proc. SPIE, Vol. 3236, [11] A. Wong et al., Lithographic effects of mask Critical Dimension error, proc. SPIE, Vol. 3334, 1998, pp [12] J. van Schoot, J. Finders, K. van Ingen Schenau, M. Klaassen and C. Buijk, The Mask Error Factor: Causes and Implications for Process Latitude, proc. SPIE, Vol. 3679, [13] A.K. Wong and A.R. Neureuther, Mask topography effects in projection printing of Phase Shifting Masks, IEEE trans. on Electron Devices, Vol 6, 1994, pp

19

20

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Advanced Mix & Match Using a High NA i-line Scanner

Advanced Mix & Match Using a High NA i-line Scanner Advanced Mix & Match Using a High NA i-line Scanner Jan Pieter Kuijten, Thomas Harris, Ludo van der Heijden ASML, Veldhoven, The Netherlands David Witko, John Cossins, James Foster, Douglas Ritchie ASML,

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

OVERLAY PERFORMANCE IN ADVANCED PROCESSES

OVERLAY PERFORMANCE IN ADVANCED PROCESSES OVERLA PERFORMANCE IN ADVANCED PROCESSES F. Bornebroek, J. Burghoorn, J.S. Greeneich, H.J. Mergens, D. Satriasaputra, G. Simons, S. Stalnaker, B. Koek ASML, De Run 111, 553 LA Veldhoven, The Netherlands

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Large-Area Interference Lithography Exposure Tool Development

Large-Area Interference Lithography Exposure Tool Development Large-Area Interference Lithography Exposure Tool Development John Burnett 1, Eric Benck 1 and James Jacob 2 1 Physical Measurements Laboratory, NIST, Gaithersburg, MD, USA 2 Actinix, Scotts Valley, CA

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography Akihiko Kurosu, Masaki Nakano, Masanori Yashiro, Masaya Yoshino, Hiroaki Tsushima, Hiroyuki Masuda, Takahito Kumazaki,

More information

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design Computer Aided Design Several CAD tools use Ray Tracing (see

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Business Unit Electronic Materials

Business Unit Electronic Materials Frank Houlihan, Raj Sakamuri, David Rentkiewicz Andrew Romano, Ralph R. Dammel AZ Electronic Materials, Clariant Corporation, Somerville, NJ Michael Sebald, Nickolay Stepanenko, M. Markert, U. Mierau,

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Use of Computer Generated Holograms for Testing Aspheric Optics

Use of Computer Generated Holograms for Testing Aspheric Optics Use of Computer Generated Holograms for Testing Aspheric Optics James H. Burge and James C. Wyant Optical Sciences Center, University of Arizona, Tucson, AZ 85721 http://www.optics.arizona.edu/jcwyant,

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information