1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Size: px
Start display at page:

Download "1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications"

Transcription

1 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi, Tsutomu Miyamoto Casio Computer Co., Ltd. Tokyo, Japan 1. ABSTRACT In the past, the majority of the lithography processing for bump applications has been performed with contact or proximity aligners. As 8-inch bump and wafer level chip scale packaging (CSP) becomes the driving factor in flip chip applications, packaging facilities are now demanding a more automated, lower defect, and higher reliability lithography tool for these processes and thus are utilizing front-end stepper technology This paper describes the performance of a unique new stepper, the Saturn Spectrum 3 Wafer Stepper, which employs a 1X 1nm broadband projection optical system developed in collaboration between Ultratech Stepper and Casio Computer Ltd. specifically for bump and wafer level CSP lithography applications. The optical characteristics of the unique g, h, and i-line projection exposure system will be discussed along with the overall technical system capabilities that provide solutions to the unique bump lithography challenges. Improvement of the image quality and overlay accuracy in comparison to the traditional lithography tool showing a more robust solution on bump and wafer level CSP applications are discussed. Results obtained by the manufacturing process at Casio Computer LTD on the first Ultratech Saturn Spectrum 3 Wafer Stepper are presented, along with critical dimension measurements and SEM photos of production pattern profiles and overlay data. 2. INTRODUCTION The advantages of broadband 1X projection optics for imaging thick resists in bump applications have been shown previously [1] [3] [4]. To quantify the overall processing advantages of implementing broadband 1X stepper technology in a bump manufacturing environment, a study was undertaken to generate data comparing the performance of a contact aligner currently used in a manufacturing bump fab to a new 1X bump application specific stepper for both critical dimension control and layer to layer overlay. The results obtained demonstrate the implementation of front-end stepper technology utilizing projection optics into the bump processing area can result in significant process control improvements compared to contact aligner technology historically used for bump lithography applications. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 1

2 3. BUMP SPECIFIC STEPPER CONFIGURATION A primary factor in choosing a stepper for bump and wafer level CSP lithography processes is the ability to print defect free images on a wafer thus eliminating the lithography tool as a yield detractor. This end result is achieved in many ways. A stepper allows non-contact imaging which eliminates mask wear and results in mask defect generation. Resist damage is also eliminated as there is no chance that the reticle will come into contact with the wafer. Furthermore, the reticle used by the stepper is protected by a pellicle that significantly reduces the chances that any particles that fall on the reticle will print on the wafer. A stepper also adds significantly improved process automation allowing one operator to control several steppers. However, adapting front-end stepper technology to bump processing has proven to be challenging due to a variety of reasons. These limitations include the narrow illumination bandwidth of most steppers (g-line or i-line exposure only), low wafer plane intensity, a requirement for stepper specific alignment targets, an inability to align and expose thick resist films, and the inability to easily handle multiple wafer sizes and thickness variations. The new broadband bump application specific stepper described here has been designed to address these issues and provide a versatile, cost effective tool for high yield bump bond lithography applications. This new tool provides all the yield and automation advantages of a stepper, with the additional technical capability of broadband exposures (35 to 45 nm), which allows the use any g-line or i-line resist process on the same lithography tool. 3.1 Broadband wavelength exposure capability (g, h, and i-line) The proprietary broadband 1X optical system (lens and illuminator) is based on existing 1X lens and illuminator technology The projection lens used in this broadband bump stepper is an evolution of the lens first developed by John Dyson in the 195's [5] [6] [7]. A primary mirror located in the pupil of the optical system is the primary imaging component of this simple five element lens. Since the achromatic primary mirror does most of the imaging, the spectral bandwidth of the lens has always been the greatest of all microlithographic wafer steppers. In this 2 mm feature resolving lens, the numerical aperture (NA) of the lens is reduced to allow the chromatic aberration correction to be extended in wavelength from 35 nm to 45 nm. This spectral band includes the g-line at 436 nm, the h-line at 45 nm and the i-line at 365 nm. The low NA of this optical system also results in greater usable depth of focus for the stepper. By design, this lens is free of coma and distortion and it is highly telecentric. Spherical aberration and field curvature are also negligible over fields of view as large as 25 mm by 5 mm. This lens has demonstrated excellent performance in imaging features of 2 mm and larger. In addition, with this optical system the projection image is very insensitive to environmental variations, such as barometric pressure, relative humidity, and temperature. Changes in lens distortion and lens magnification due to heating effects caused by high dose exposures (known to be typical phenomenon in reduction stepper lenses), are small in this 1X lens design. This unique version of the 1X Dyson lens design utilizes a high intensity illuminator with customized optics to allow for broadband (1 nm) transmission. This results in an intensity of over 175 mw/cm 2 at the wafer plane, more than three times the intensity available from any other stepper. The full 1 nm ghi-line illumination output of the lens is shown in Figure 1. The high wafer plane intensity is a key factor in the system's ability to provide Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 2

3 high throughput on thick photosensitive films with very high dose requirements typically found in bump processing [1] [4]. 3.2 Switchable Wavelength Illumination The capability to automatically switch between ghi-line, gh-line and i-line illumination with the use of specially designed high transmission bandbass filters has also been developed as an integral part of the exposure capability in this new 1X stepper lens and illuminator design. This capability allows exposure of any broadband, g-line or i- line photosensitive film while eliminating the need for manual filter changes. The typical illumination output for the 1X broadband projection lens with gh-line and i-line filters in place is shown in Figures 2 and 3. It is very typical that bump processing requires the use of both g-line and i-line photosensitive films. For instance, it is known that many major polyimide films and also BCB are sensitive in i-line, and conventional thick dry film can have sensitivity in g or i-line. This unique 1X stepper offers spectum sensitivity free photo processing by broadband illumination. 3.3 Process Requirements Photoresist plays a very critical role in the electroplating and evaporation process. It defines the height of the bump and controls its location relative to the bond pad. Bump heights or photoresist thicknesses typically range from 1 to 1 microns with image features ranging from 1 by 1 to 5 by 5 microns square. The challenge for bump formantion applications is to image these features in thick photoresist. Depending on the requirements, the resist aspect ratios can range from 1:1 to 4:1 or higher. Critical Dimension (CD) control and sidewall angle are key aspects of this process and can be significantly improved with the use of projection optics and a step and repeat exposure approach [2]. 3.4 Casio Process Casio Computer Co., Ltd. and OKI Electric Industry Co., Ltd. have developed a new style package, which minimizes the mounting area like bare chip but also provides high reliability like FPGA (Fine Pitch Grid Array) packages [9]. In this process, the wafer with a copper bump is encapsulated using a transfer molding or printing method. Then the encapsulated wafer is singulated into individual LSI chips. A cross section showing the basic film stack and layout of this new process is shown in Figure 4. The new 1X broadband stepper technology was chosen as the lithography tool for generating the copper bump features in this new CSP (Chip Scale Package) approach due to significantly improved CD, resist slope, and overlay performance. 4. EXPERIMENTAL METHOD AND RESULTS Due to the difficulties in generating significant layer to layer alignment data from production chip designs that lack the necessary automated metrology targets due to production chip real estate concerns, a special two-level test chip design was developed and used with the standard Casio bump process to generate alignment data. To accuately represent the actual production environment, the first masking level (reference level) was generated on a 5X reduction stepper and the reference pattern was etched into 6-inch silicon wafers. The test chip die size Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 3

4 was 22 mm by 22.2 mm, and the wafer array layout used is shown in Figure 5. The grayed chip sites represent the five wafer locations measured to obtain the overlay data for both the contact aligner and the stepper. A total of eight wafers were patterned and etched with the reference level for this test. The second level was then processed as a split lot with four wafers each imaged on a contact aligner and 1X stepper. The contact aligner tested in this paper is the exposure tool currently used for bump lithography volume production, and is compared to the performance of the new 1X broadband stepper. This methodology allows us to compare the production capability of the two tools in a mix-and-match environment, which represents the production situation where bumped wafers are processed through metalization on reduction steppers, and then the bump lithography step is later performed with either a contact aligner or 1X projection stepper. Both CD and layer to layer overlay performance was measured for the two lithography systems and the results compared as they relate to bump processing requirements. 4.1 Overlay Performance Specially designed "Box-in-Box" metrology structures were used on the test chip design to allow automated layer to layer overlay measurements. A KLA Instruments Model 57 was used to obtain the overlay data from both the contact aligner and stepper overlay test lots. The standard production alignment mode was used for the contact aligner, and the automatic MVS (Machine Vision System) EGA alignment mode was used on the 1X stepper. The 1X stepper MVS alignment system employs an image recognition computer that allows the operator to train any unique feature on the wafer to be used as an alignment target [8]. This allows extra flexibility in that a specially designed alignment target is not required for the 1X stepper. The actual target used for alignment on the 1X stepper is shown in Figure 6. The longer legged cross made up of "zig-zag" shaped features was trained as the wafer alignment target, and the smaller straight cross is the corresponding reticle target feature. The reticle target is aligned to the wafer target at 3 to 5 alignment locations and the resulting data points are analyzed to automatically remove any correctable grid or scaling errors. The resulting wafer "map" is then used to blind step the second level features onto the etched first level pattern. A five-site Enhanced Global Alignment (EGA) map was generated for the data set obtained in this test. 4.2 Alignment mark used for MVS alignment on 1X stepper Overlay results for the two lithography tools are shown in Figures 7 through 1. The overlay test resulted in 3σ total overlay of less than.6 µm for the 1X stepper compared to as large as 2. µm 3σ overlay for the contact aligner. This data clearly shows the improvement in alignment that can be obtained by use of an automated EGA, step-and-repeat method for bump processing. It should also be noted that additional overlay improvement could be easily obtained for the stepper if necessary by applying higher order stage grid corrections to match the 1X stepper stage to the stage signature of the reduction stepper used for generating the reference level. 4.3 Critical Dimension Control Performance of Resist Line A KLA 51 metrology tool was utilized for obtaining CD measurements after develop for 1 µm lines in 1 µm thick spin coated PMER P-LA9PM resist. A total of 233 lines were measured on three wafers for the 1X stepper, and a total of 153 lines were measured on two wafers for the contact aligner. Measurements were made for top CD, bottom CD, and resist height. Resist sidewall slope were then calculated from these measurements by the following formula: Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 4

5 (1) Slope = Arctan [(2 x L1)/(L3-L2)/(π/18)] Where: L1 = Resist line height L2 = Resist line top CD L3 = Resist line bottom CD Histograms of measured bottom line CD and calculated resist slope for both the contact aligner and 1X stepper are shown in Figures 26, 27, 28, and 29. It can be seen from these histograms that the CD uniformity of the resist CD is significantly improved with use of the 1X stepper. Only bottom CD measurements are shown for comparason, since the top of the resist for the contact aligner was somewhat rounded, and the CD measurement repeatibility for the top CD for the contact aligner was not as good for the stepper. More importantly resist sidewall angle, as calculated by the above formula from resist line top, bottom and height measurements, shows both better (greater) average sidewall angle and tighter sidewall angle distribution for the 1X stepper. For the resist sidewall angles, the average wall angle for the contact aligner was determined to be 73.9 degrees, while the average wall angle using the same resist and process was 78.8 degrees for the 1X stepper, representing a six percent improvement in average resist sidewall angle. 4.4 Critical Dimension Control Performance after Copper Plating Critical dimension measurements were taken by SEM for three different lithography steps in the bump process. Two processes steps involved imaging 1 µm and 15 µm lines in 1 µm thick spin coated PMER P-LA9PM resist films, while the third step tested required imaging 15 µm posts in 12 µm thick P812 dry film. CD unifomity was calculated by using the following formula: (2) Uniformity = ( Max CD - Min CD)/ (Max CD + Min CD) x 1 The data in Figures 11, 12 and 13 show a comparison between the contact aligner and the new 1X stepper for CD uniformity achieved at each process step after copper plating and Figures 14 through 23 show SEM photos of the corresponding CD structures measured for both tools. For a contact aligner, the optimum exposure condition for the mask to wafer working gap setting is often a difficult compromise between near contact for best image quality and a large gap space for eliminating mask and resist damage due to mask to wafer contact. Due to this issue, the standard production gap setting was the only focus setting tested for the contact aligner. For the stepper, three focus conditions were tested for each CD to determine CD uniformity and imaging quality over a large focus range. CD measurement results are summarized in Tables 1 and 2. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 5

6 Resist Thickness Focus Offset Critical Dimension CD Uniformity 1 µm N/A 1 µm 2.67 µm 1 µm N/A 15 µm 2.29 µm 12 µm N/A 15 µm.64 µm Table 1 Contact Aligner CD Uniformity by SEM Measurement Resist Thickness Focus Offset Critical Dimension CD Uniformity 1 µm - 2 µm 1 µm 1.13 µm 1 µm - 3 µm 1 µm 1.13 µm 1 µm - 4 µm 1 µm 1.15 µm 1 µm - 2 µm 15 µm.39 µm 1 µm - 3 µm 15 µm 1.21 µm 1 µm - 4 µm 15 µm.8 µm 12 µm - 7 µm 15 µm.67 µm 12 µm - 8 µm 15 µm.67 µm 12 µm - 9 µm 15 µm.45 µm Table 2 Stepper CD Uniformity by SEM Measurement The data for SEM measurements after copper plating shows a significant CD uniformity improvement for the 1 µm and 15 µm features, and similar CD uniformity for the 15 µm features imaged in the 12 µm thick dry film for the stepper compared to the contact printer. 5. SUMMARY The results shown here demonstrate that significant improvement in critical dimension control and overlay can be obtained with the introduction of front-end lithography equipment into bump manufacturing fabs. The primary issues that need to be addressed to make a front-end lithography tool a practical manufacturing solution for bump fabs are in the requirements to process both thick and thin resists with broadband exposure capability, coupled with the flexibility to auto align bump wafers without the requirement of specific alignment targets. The application specific 1X bump lithography tool tested here provides the improved technical capabilities of a stepper, and also meets the resist processing and alignment flexibility requirements of the bump manufacturing environment. Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 6

7 6. REFERENCES [1] W. Flack, W. Fan, S. White, "The Optimization and Characterization of Ultra-Thick Photoresist Films", Advances in Resist Technology and Processing XV Proceedings, SPIE 3333, (1998). [2] Flack, W. Fan, S. White, "Characterization of Ultra-thick Photoresists for MEMS Applications Using a 1x Stepper", Materials and Device Characterization in Micromachining Proceedings, SPIE 3512, (1998). [3] Flack, S. White, B. Todd, "Process Characterization of One Hundred Micron Thick Photoresist Films", Advances in Resist Technology and Processing XVI Proceedings, SPIE 3378, (1999). [4] B. Todd, W. Flack, S. White, "Thick Photoresist Imaging Using A Three Wavelength Exposure Stepper", Micromachining and Microfabrication Process Technology Proceedings, SPIE 3874, (1999). [5] H. Jeong, D. A. Markle, G. Owen, R.F.W. Pease, A. Grenville, "Optical Projection System for Gigabit Dynamic Random Access Memories", American Vacuum Society, J. Vac. Sci. Technology, Nov/Dec [6] R. Hershel, "Optics in the Model 9 Projection Stepper", Semiconductor Microlithography V Proceedings, SPIE, Vol. 221, (198). [7] R. Hershel, "Characterization of the Ultratech Wafer Stepper", Optical Lithography Proceedings, SPIE 334 (1982). [8] W. Flack, G. Flores, T. Tran, "Application of Pattern Recognition in Mix-and-Match Lithography", Optical/ Laser Microlithography VIII Proceedings, SPIE 244, (1995). [9] I. Mihara, O. Kuwabara, T. Miyamoto, S. Kotani, T. Wakabayashi, H. Kobayashi, N. Murakima, H. Kikuchi, S. Ohuchi, T. Ohsumi, Y. Kohara, "Development of the Real Chip Size Package Using the Wafer Level Assembly Process", Fourth Annual Pan Pacific Microelectronics Symposium Proceedings, 21-25, (1999). Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 7

8 Relative Waferplane Irradiance X BUMP STEPPER (ghi) OPTICAL SYSTEM Standard ghi-line Irradiance Wavelength in nm Figure 1 Full ghi-line Irradiance Relative Waferplane Irradiance X BUMP STEPPER (ghi) OPTICAL SYSTEM Irradiance with gh-line filter in Place Wavelength in nm Figure 2 i-line Stepper Output with gh-filter Relative Waferplane Irradiance X BUMP STEPPER (ghi) OPTICAL SYSTEM Irradiance with i-line filter in Place Wavelength in nm Figure 3 gh-line Stepper Output with I-line Filter Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 8

9 Figure 4 Cross Section of Bump Process Figure 5 Wafer Map for Overlay Measurements Figure 6 Alignment mark used for MVS alignment on 1X stepper Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 9

10 Frequency σ = µm Measured Overlay in microns (5 points per die) Figure 7 1X Stepper "X" Overlay on Bump Process Frequency σ =.581µm Measured Overlay in microns (5 points per die) Figure 8 1X Stepper "Y" Overlay on Bump Process Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 1

11 Frequency σ = 1.99µm Measured Overlay in microns (5 points per die) Figure 9 Contact Aligner "X" Overlay on Bump Process 3 Frequency σ = 1.26µm Measured Overlay in microns (5 points per die) Figure 1 Contact Aligner "Y" Overlay on Bump Process 3 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 11

12 Positive Resist (1µm L/S) 1X Broadband Stepper Focus Offset Wafer CD CD Uniformity µm µm µm Contact Positive Resist (15µm L/S) 1X Broadband Stepper Focus Offset Wafer CD CD Uniformity µm µ µm Contact Figure 11 Stepper and Contact Printer CD Uniformity in 1µm PMER P-LA9PM Resist After Copper Plating (1µm L/S) Figure 12 Stepper and Contact Printer CD Uniformity in 1µm PMER P-LA9PM Resist After Copper Plating (15µm L/S) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 12

13 Thick Dryfilm 1X Broadband Stepper (Spectrum 3) Focus Offset Wafer CD CD Uniformity µm µm µm Contact Figure 13 Stepper and Contact Printer CD Uniformity in 12 µm Dry Film P812 Resist After Copper Plating (15 µm Post) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 13

14 Frequency Measured Resist CD Uniformity in microns Figure 26 1X Stepper CD Uniformity for 1 µm Line in 1 µm Resist Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 14

15 Frequency Measured Resist Slope in degrees Figure 27 1X Stepper Resost Slope for 1 µm Line in 1 µm Resist Frequency Measured Resist CD Uniformity in microns Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 15

16 Stepper: Contact Aligner Resist: PMER P-LA9PM Exposure: 4 mj Focus: Soft Contact Pattern: Copper 2µm Pitch Figure 28 Aligner CD Uniformity for 1 µm Line in 1 µm Resist Frequency Figure Contact Aligner Measured (1µm Resist L/S, Soft Slope Contact) in degrees Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -2 µm Pattern: Copper 2µm Pitch Figure 29 Aligner Resist Slope for 1 µm Line in 1 µm Resist Figure 15 1X Stepper (1µm L/S, -2µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 16

17 Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -3 µm Pattern: Copper 2µm Pitch Figure 16 1X Stepper (1µm L/S, -3µm Focus Offset) Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -4 µm Pattern: Copper 2µm Pitch Figure 17 1X Stepper (1µm L/S, -4µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 17

18 Stepper: Contact Aligner Resist: PMER P-LA9PM Exposure: 4 mj Focus: Soft Contact Pattern: Copper 3µm Pitch Figure 18 Contact Aligner (15µm L/S, Soft Contact) Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -2 µm Pattern: Copper 3µm Pitch Figure 19 1X Stepper (15µm L/S, -2µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 18

19 Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -3 µm Pattern: Copper 3µm Pitch Figure 2 1X Stepper (15µm L/S, -3µm Focus Offset) Stepper: Spectrum III Resist: PMER P-LA9PM Exposure: 12 mj Focus: -4 µm Pattern: Copper 3µm Pitch Figure 21 1X Stepper (15µm L/S, -4µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 19

20 Stepper: Contact Aligner Resist: Dryfilm P812 12µm Exposure: 2 mj Focus: Soft Contact Pattern: Copper 15µm Square Figure 22 Contact Aligner (15µm L/S, Soft Contact) Stepper: Spectrum III Resist: Dryfilm P812 12µm Exposure: 7 mj Focus: -7µm Pattern: Copper 15µm Square Figure 23 1X Stepper (15µm L/S, -7µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 2

21 Stepper: Spectrum III Resist: Dryfilm P µm Exposure: 7 mj Focus: -8 µm Pattern: Copper 15µm Square Figure 24 1X Stepper (15µm L/S, -8µm Focus Offset) Stepper: Spectrum III Resist: Dryfilm P812 12µm Exposure: 7 mj Focus: -9 µm Pattern: Copper 15µm Square Figure 25 1X Stepper (15µm L/S, -9µm Focus Offset) Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 21

22 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 22

23 Anberg, Eguchi, Momobayashi, Wakabayshi, Miyamoto 23

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

(Ar [ Si O Si O] m )n

(Ar [ Si O Si O] m )n The widespread adoption of advanced packaging techniques is primarily driven by electrical device performance and chip form factor considerations. Flip chip packaging is currently growing at a 27% compound

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Warren W. Flack, Scott Kulas Ultratech Stepper, Inc. San Jose, CA 95134 Craig Franklin HD Microsystems Austin,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications Elvino da Silveira - Rudolph Technologies, Inc. ABSTRACT Rudolph s

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Large Area Interposer Lithography

Large Area Interposer Lithography Large Area Interposer Lithography Warren Flack, Robert Hsieh, Gareth Kenyon, Manish Ranjan Ultratech, Inc 3050 Zanker Road, San Jose. CA. 95124 wflack@ultratech.com +1 408-577-3443 John Slabbekoorn, Andy

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Agenda About Rudolph JetStep G System overview and performance Display

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Optical Design Forms for DUV&VUV Microlithographic Processes

Optical Design Forms for DUV&VUV Microlithographic Processes Optical Design Forms for DUV&VUV Microlithographic Processes James Webb, Julie Bentley, Paul Michaloski, Anthony Phillips, Ted Tienvieri Tropel Corporation, 60 O Connor Road, Fairport, NY 14450 USA, jwebb@tropel.com

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION SEMI-AUTOMATED MASK ALIGNER SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION SEMI-AUTOMATED MASK ALIGNER SUSS MA/BA Gen4 Series SMART FULL-FIELD EXPOSURE TOOL

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016 IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016 1. Data in a factory has been collected on the performance of five types of machines,

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

IMAGE SENSOR SOLUTIONS. KAC-96-1/5 Lens Kit. KODAK KAC-96-1/5 Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2 KODAK for use with the KODAK CMOS Image Sensors November 2004 Revision 2 1.1 Introduction Choosing the right lens is a critical aspect of designing an imaging system. Typically the trade off between image

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information