IMPACT Lithography/DfM Roundtable

Size: px
Start display at page:

Download "IMPACT Lithography/DfM Roundtable"

Transcription

1 IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon, Tina Chan, Sandy Wiraatmadja, Alex Li, Jihoon Kim, EECS UC Berkeley University IMPACT of Lit California ho g r a phy/ Berkeley D f M Ro San undiego dt a bl e Los 1Angeles 09/24/2008

2 0 Themes: Neureuther Group Pattern-Matching Fast-CAD techniques Match Location Through focus algebraic models Linking with circuit performance analysis Real-time guidance of pattern decomposition Electronic Testing Parameter-specific ring oscillator layout and testing (BWRC) Computational Lithography Electromagnetic scattering FDTD TEMPEST Fast EUV Scattering RADICAL and image analysis Fast image analysis algorithms (Kenji Yamazoe) 1443 Defocus - 80 nm -40 nm 0 nm 40 nm 80 nm Distance (nm) Incident Wave σ = 0 was used to emulate plane wave illumination with NA = 1.0 and 2x reduction Distance (nm) IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 2 09/24/2008

3 IMPACT, Neureuther, August 08 3 Sources of systematic variation are changing rapidly Layout 90nm 45nm 22nm Litho F ~ 1% F ~ 1% F ~ (2%) Etch F > 10% F ~ 2% F ~ (2%) S/D Stress NA F ~ 5% F ~ (5%) STI NA F ~ 3% F ~ (3%) Align (DP) F ~ (1%) F ~ (1%) F ~ (5%) PhD Thesis Liang-Teck Pang 2008 (x%) => estimated by ARN Based on work at the Berkeley Wireless Research Center (BWRC) Copyright 2008, Regents of University of California 3

4 Pattern Matching for Through Focus Effects Juliet Rubinstein (Holwill) CAD Student, Intel Fellow Expected Graduation: May 09 Goals: Develop fast approximate methods with physically based models to assess and guide decomposition for double patterning and develop parameter specific electronic monitors Through-focus theoretical model for creating patterns to be used for more accurate focus pattern matching. IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 4 Electric Test for Defocus using a double exposure self interferometric pattern + = Pattern Matching for Advanced Lithography Applications: off-axis illumination, polarization, high-na, double patterning 09/24/2008

5 Interconnect Variation Sources and Monitors Goal: Use process/device/circuit simulation to understand process variation electrical contributions and develop parameter specific electronic monitors Prediction of interconnect delay variations using pattern matching (SPIE 07) Slack time order in a design changes through focus (BWRC) Eric Chin Interconnect Modeling timing across the lithographic process window (SPIE08) Various interconnect scenarios and sensitivities Bossung plots for R, C, and delay Phase-shift interconnect layout as a process monitor Ongoing studies: Focus-Exposure algebraic models for R, C & delay Double patterning, Multilayer metals Integration of variation modeling into design toolkits IMPACT Lit ho g r a phy 5 04/09/2008

6 Layout-Induced Effects via Pattern Matching and Electronic Testing Lynn Wang: IC/CAD Student Ring Oscillator Electronic Scan-Chain Testing [1] [1] L.T. Pang VLSI 06 with 1443 PMF=0.3 Neighbor Original Standard Cell Library Characterization Test Structure Designed for Misalignment Normalized RO Freq vs. Misalignment 1.4 In Focus Defocus /24/ /24/2008 Misalignment (nm) 6

7 Electromagnetic Modeling Goals: Characterize and model photomask edge effects, explore plasmon LER monitors, and examine speed-ups in simulation and interpretation of signals in mask and wafer inspection. Characterization and monitoring of photomask edge effects (BACUS 07) ATT-PSM has 20 nm of quadrature light on each edge This tilts the Bossong plot and it is noticeable at 45 nm Impact of Photomask Quadrature Edge Effects through Focus (SPIE 08) MoSi line-ends are about 3X worse than line edges MoSi has only a slight incident angle effect Effects are larger for Ta oxide masks than MoSi (waveguiding?) Dry lab experiment for monitoring ATT-PSM and plasmons Marshal Miller IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 7 09/24/2008

8 Fast-EM Methods for EUV Masks Goal: Extend fast ray-tracing methods for EUV buried defect feature interactions and establish rules-of-thumb and defect compensation strategies. This work is supported by Intel and will provide a leg-up for IMPACT in Y3 and Y4. Fast Three-Dimensional Simulation of Buried EUV Mask Defect Interaction with Absorber Features (BACUS 07) Established fast simulation capability in 3D Smoothing based model for images of isolated buried EUV multilayer defects (SPIE 08) Smoothing process imposes asymptotic surface shape Emphasizes center of lens => PSF broader and less ringing How does this affect defect compensation strategies? Y-Distance (nm) Chris Clifford Corrected Absorber Pattern with Threshold: X-Distance (nm) IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 8 09/24/ /09/2008

9 EUV Lithography Defect Simulation Goal : Simulate and compare EUV buried defect feature interactions. Establish rules-of-thumb and defect compensation strategies. Currently : matching experimental and simulated data by finding aberration levels Defect Center Intensity Intensity Intensity of Defects Through Focus experimental data astigmatism = 0.40 astigmatism = 0.48 astigmatism = Focus Focus Position Tina Chan Bioengineering B.S Interested in: Cell and Tissue Engineering Finite Element Modeling Sandy Wiraatmadja EECS B.S. Dec 08 Interested in: Circuits Process LBNL/Intel Buried Defect Exp. IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 9 09/24/2008

10 Lithography Analysis using Virtual Access Website Alex Li Goal: Learn about lithography, JAVA applets, website design. Work for an animation studio. Goal: Learn about simulation. Strengthen coding & debugging skills in Java, HTML & Perl. Jihoon Kim Update the look and feel of the Lava site. Solve the server-client problems for the website and the applets. Develop new Java applets for physical illustrations, EUV, etc. IMPACT Lit ho g r a phy/ D f M Ro un dt a bl e 10

11 Kenji Yamazoe, VIF Canon Research field Partially coherent theory and simulation time TCC calculation & Eigenfunction generation Abbe SOCS P-Dec. Repetition of simulation (same optical condition) 09/24/ /24/ Development of little offset SOCS: P-Dec.

12 Kenji Yamazoe, VIF Canon Research field Partially coherent theory and simulation g 0 Back propagation y [nm] Optimized mask y [nm] f 09/24/ /24/ x [nm] x [nm] Simulation results y [nm] x [nm]

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

IMPACT Roundtable Lithography + DfM

IMPACT Roundtable Lithography + DfM IMPACT Roundtable Lithography + DfM Andy Neureuther Electrical Engineering & Computer Science September 24, 2008 neureuth@eecsberkeley.edu 510.642.4590 University of California Berkeley San Diego Los Angeles

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC Waveguide Bragg Gratings and Resonators JUNE 2016 1 Outline Introduction Waveguide Bragg gratings Background Simulation challenges and solutions Photolithography simulation Initial design with FDTD Band

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators

Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators Lynn T.-N. Wang* a, Liang-Teck Pang a,b, Andrew R. Neureuther a, Borivoje Nikolić a a Dept of EECS,

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

Printing Beyond srgb Color Gamut by. Mimicking Silicon Nanostructures in Free-Space

Printing Beyond srgb Color Gamut by. Mimicking Silicon Nanostructures in Free-Space Supporting Information for: Printing Beyond srgb Color Gamut by Mimicking Silicon Nanostructures in Free-Space Zhaogang Dong 1, Jinfa Ho 1, Ye Feng Yu 2, Yuan Hsing Fu 2, Ramón Paniagua-Dominguez 2, Sihao

More information

Exposure schedule for multiplexing holograms in photopolymer films

Exposure schedule for multiplexing holograms in photopolymer films Exposure schedule for multiplexing holograms in photopolymer films Allen Pu, MEMBER SPIE Kevin Curtis,* MEMBER SPIE Demetri Psaltis, MEMBER SPIE California Institute of Technology 136-93 Caltech Pasadena,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

NSERC Summer Project 1 Helping Improve Digital Camera Sensors With Prof. Glenn Chapman (ENSC)

NSERC Summer Project 1 Helping Improve Digital Camera Sensors With Prof. Glenn Chapman (ENSC) NSERC Summer 2016 Digital Camera Sensors & Micro-optic Fabrication ASB 8831, phone 778-782-319 or 778-782-3814, Fax 778-782-4951, email glennc@cs.sfu.ca http://www.ensc.sfu.ca/people/faculty/chapman/ Interested

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Evaluating the Electromagnetic Surface Wave of High Impedance Structures by Monopole Antenna and Application for Patch Antennas at Q Band

Evaluating the Electromagnetic Surface Wave of High Impedance Structures by Monopole Antenna and Application for Patch Antennas at Q Band International Journal of Electromagnetics and Applications 2016, 6(1): 1-6 DOI: 10.5923/j.ijea.20160601.01 Evaluating the Electromagnetic Surface Wave of High Impedance Structures by Monopole Antenna and

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Packaging Fault Isolation Using Lock-in Thermography

Packaging Fault Isolation Using Lock-in Thermography Packaging Fault Isolation Using Lock-in Thermography Edmund Wright 1, Tony DiBiase 2, Ted Lundquist 2, and Lawrence Wagner 3 1 Intersil Corporation; 2 DCG Systems, Inc.; 3 LWSN Consulting, Inc. Addressing

More information

Image formation in the scanning optical microscope

Image formation in the scanning optical microscope Image formation in the scanning optical microscope A Thesis submitted to the University of Manchester for the degree of Doctor of Philosophy in the Faculty of Science and Engineering 1997 Paul W. Nutter

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects by Yow-Gwo Wang A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information