2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

Size: px
Start display at page:

Download "2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley"

Transcription

1 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1

2 Current Milestones Litho 1: Develop and experimentally verify process effect monitoring and full chip assessment methodologies Parameter specific test patterns and circuits; Sim & Exp. Verify Litho 2: Electromagnetic modeling methods and electromagnetic phenomena Mask edge effects; Plasmon monitors; Inspection signals Litho 3: Fast-CAD for full process window characterization of double patterning and guidance during decomposition Pattern Matching of post-decomposition and real-time decomposition Litho 4: Assessment tools for double patterning decomposition State dependent learning to maximize process window NT 4: ODP-based parameter extraction and silicon verification Optimized dual period 1-D gratings for optical aberration extraction IMPACT Lithography 2

3 Gate Variation Sources and Monitors Goal: Use process/device/circuit simulation to understand process variation electrical contributions and develop parameter specific electronic monitors 1443 with Lateral interactions between standard cells using pattern matching (BACUS 07) Interpreting RO results from BWRC 90 nm and 45 nm New evidence of significant effects from TI-SPIE Lynn Wang Hyper-Sensitive Parameter-Identifying Ring Oscillators for Lithography Process Monitoring (SPIE 08) 5X sensitivity enhancement; Pulse RO circuits Dry lab case for Si experiment Methodology for SEM to electrical performance sensitivity IMPACT Lithography 3

4 Cell-to-Cell Interaction vs. Distance Oscillates Pattern Matching Metal 1 Focus P 2 = 0.5 λ NA Periodic oscillatory behavior Good spacing and bad spacing, saves area Lynn Wang PMF Peak-to-Peak Range: 0.07, ~ 7% variation in linewidth IMPACT Lithography 4

5 Hyper-Sensitive Parameter-Identifying RO Focus Sensitive Large P-MOS to enable fast pull-up Patterns J. Holwill. SPIE 06 J. Holwill. BAUCS 07 W. Poppe. Ph.D. Thesis 07 Circuits Vfb Vout Lynn Wang Gate under Test Focus Monitors Dense 3.0% -80nm to 40nm Focus Isolated 5.5% Bulge (nonrectangular) 12.8% Phase Shift 27.8% BWRC RO IMPACT Lithography 5 5X more sensitive

6 Interconnect Variation Sources and Monitors Goal: Use process/device/circuit simulation to understand process variation electrical contributions and develop parameter specific electronic monitors 1443 with Eric Chin Prediction of interconnect delay variations using pattern matching (SPIE 07) Slack time order in a design changes through focus (BWRC) Modeling timing across the lithographic process window (SPIE 08) Various interconnect scenarios and sensitivities Bosson plot for R, C and delay (F-E algebraic model) feasible Emperical F-E models; Multilayer Metal; Double patterning IMPACT Lithography 6

7 Second Interconnect Topology Eric Chin Worst case change in delay across FE window: 0.78ps (0.82%) Self compensating R, C minimizes impact on delay. IMPACT Lithography 7

8 Phase Shift Layout as a Process Monitor Eric Chin Bossung curves shows an asymmetric change in linewidth with focus, while linespace remains relatively flat. Worst case change across the FE window is 41.8ps (35%). This can be amplified by increasing the load capacitance to S3=64, resulting in the worst case change of 59.5ps (40%). An 11 stage ring oscillator with this phase shift interconnect topology between each stage would experience a frequency shift of 244 MHz (32%) from focus variations. IMPACT Lithography 8

9 Goals: Characterize ATT-PSM edge effects, explore plasmon monitors, and examine speed-ups in simulation and interpretation of signals in mask and wafer inspection. Electromagnetic Modeling Marshal Miller Characterization and monitoring of photomask edge effects (BACUS 07) ATT-PSM has 20 nm of quadrature light on each edge This tilts the Bossong plot and it is noticeable at 45 nm Impact of Photomask Quadrature Edge Effects through Focus (SPIE 08) MoSi line-ends are about 3X worse than line edges MoSi has only a slight incident angle effect Effects are larger for Ta oxide masks than MoSi (waveguiding?) Dry lab experiment for monitoring ATT-PSM and plasmons IMPACT Lithography 9

10 MoSi: Real and Imaginary Edge Contributions TE TM TM TE MoSi mask shows variation through both incident angle and pitch of about 5nm Clear polarization dependence Real: TE effect is double TM Marshal Miller Imaginary: Opposite, TM is worse IMPACT Lithography 10

11 Marshal Miller 3-D Simulations: MoSi 3-D FDTD simulations of MoSi line Wafer image calculated with Panoramic (contours shown below) Line ends far more sensitive through focus (up to 5x) Tilt in LES curve apparent in fields imported from FDTD Line end: solid Line width: dashed Defocus - 80 nm - 40 nm 0 nm 40 nm 80 nm σ = 0 was used to emulate plane wave illumination with NA = 1.0 and 2x reduction. IMPACT Lithography 11

12 EM Simulation Framework Goal: Provide cross-cutting EM simulation capabilities to guide technology innovation in maskless and emerging lithography issues. DARPA/SRC Project and Dan is on FLCC/IMPACT Spring 2008 to assist Litho 2 (EM simulation) Developed TEMPEST v7 to provide computational and physical insight to optoelectronic, plasmonic, lithography and laser anneal New computational capabilities include: Half-cell material boundary placement control Pulsed, surface plasmon, and induced polarization sources Post-processing device under test system Applications include: Dan Ceperley 460 Sub-Wavelength Grating Plasmonic couplers, nanoparticles, and lithographic mask elements Rapid Thermal Annealing PhD 5/08 IMPACT Lithography 12

13 Surface Plasmon Generation Efficiencies Surface plasmon generation by small surface features is surprisingly strong. Small surface features can have an effective width 2x larger than their physical widths. Surface plasmon generation is strongly angle dependent. A 25 shift in illumination angle can double the plasmon conversion length. Engineering the pedestal beneath the feature is critical for optimizing surface plasmon grating couplers. Incident Wave 100nm Feature Incidence Conversion Length Angle Leftward Rightward 0 85nm 85nm nm 9nm 56 7nm 98nm IMPACT Lithography 13

14 Laser Spike Annealing (Ultratech) Gabor (IBM): circuit performance variation dominated by RTA*. Pattern density dependence confirmed by TEMPEST v7. Gate geometry dependence discovered. 50% of power absorbed by nitride capping layer. Simulation challenges include small feature sizes and highangle waves. *I. Ahsan, et al, RTA-Driven Intra-Die Variations in Stage Delay, and Parametric Sensitivities for 65nm Technology, IEEE 2006 Symposium on VLSI Tech, IMPACT Lithography 14 Illumination: Perpendicular to grating Illumination: Parallel to grating Grating orientation Gates Directly on Wafer Reflectio n Heating Power % 100% % 100% % 100% % 100% % 97% 45 11% 89% 60 31% 69% 75 63% 37% 85 78% 22% % 20% 90 80% 20%

15 Gate Variation Sources and Monitors Goals: Develop fast approximate methods with physically based models to assess and guide decomposition for double patterning and spacer lithography and develop parameter specific electronic monitors 1443 with Juliet Rubinstein Images in Photoresist for Self-Interferometric Electrical Image Monitors (BACUS 07) {based on double exposure, open/short} Six parameter DOE resist printing => < 0.3 Rayleigh Unit Post-Decomposition Assessment of Double Patterning Layout (SPIE 08) PV-band vs. PM shows proximity and focus are distinct Defocus is not a small aberration => OPD 2 => PM with Z 0, Z 3, Z 9 Pre-OPC PM and Post-OPC PM similar but some differences Found instances where an alternative split improves Z 0,Z 3,Z 9 F-E model; Interpret (IMEC-SPIE) IMPACT Lithography 15

16 Matching Agrees with PV Bands There is a region of necking due to the high match with Z 0. There is a region of high focus variation where the high match for Z 3. Illumination: Clearfield with attenuated features, σ = 0.3, NA = 0.85, 0.04 λrms defocus. Focus Z 0 Juliet Rubinstein IMPACT Lithography 16

17 Matching on Post Decomposition Layout Juliet Rubinstein Match Location Illumination: Darkfield with openings Annular , NA=1.2. Layout supplied by IMEC. IMPACT Lithography 17

18 Fast-EM Methods for EUV Masks Goal: Extend fast ray-tracing methods for EUV buried defect feature interactions and establish rules-of-thumb and defect compensation strategies. This work is supported by Intel and will provide a leg-up for IMPACT in Y3 and Y4. Chris Clifford Fast Three-Dimensional Simulation of Buried EUV Mask Defect Interaction with Absorber Features (BACUS 07) Established fast simulation capability in 3D Smoothing based model for images of isolated buried EUV multilayer defects (SPIE 08) Smoothing process imposes asymptotic surface shape Emphasizes center of lens => PSF broader and less ringing How does this affect defect compensation strategies? IMPACT Lithography 18

19 Integrated Simulation Methodology ourier Transform Reference Plane Transmission through absorber features Reflection from multilayer Thin Mask Model Ray Tracing Absorber Pattern Specifications Defect and Multilayer Specifications Incident Absorber Layout Near Wave FT{ } Simulator Field Multilayer Simulator Plane Wave Near Field Final Result Absorber Layout Simulator Near FT{ Field } IMPACT Lithography 19 Chris Clifford

20 Defect Printability: Algebraic Model Verification Algebraic model ΔL = I edge m defect h ImageSlope Plug in parameters I m h edge defect = 0.3 SurfaceDefect = = 3.19 SurfaceDefect ImageSlope = Compare to FDTD simulation ΔL = 7. 08nm A ΔL = 3. 8nm R Δ L = 3. 5 R+ C nm Chris Clifford Distance (nm) TEMPEST (top view) Distance (nm) Results Do Not Match until the absorber coverage is included IMPACT Lithography 20

21 Probe-Pattern Grating (PPG) Focus Monitor through Scatterometry Jing Xue, Costas Spanos and Andy Neureuther Sensitive, accurate focus metrology needed for high NA lithography Scatterometry: a metrology platform with the significant benefits of being non- destructive, accurate, fast and repeatable Illustration of the high sensitivity of the Probe-Pattern Grating Focus Monitor IMPACT Lithography 21

22 Concept of Interferometric Focus Monitor Inverse mapping Defocus Pupil Defocus Mask 1D defocus OPD 2D defocus mask cross-section IMPACT Lithography 22 Monitor Mask Design Rule

23 PPG Focus Monitor Design Pitch: P Pattern line: W pa 90o Probe line: W pb W pa (a) PPG mask design Imag 0-1 K pb +1 A pa K pa (b) Diffracted Beams at pupil plane K pa A pb K pb A pb K pb A pa K pa Real Fourier Space IMPACT Lithography 23 (c) In Fourier space, the phase and magnitude of the diffracted orders

24 PPG Focus Monitor Design Where S is the sensitivity coefficient, which determines the curvature of the cosine function. K 0 and K 1 are the magnitude of 0 th and 1 st order Illustration of aerial image with defocus IMPACT Lithography 24 Where Linear approximation can be obtained at small defocus

25 Resist Image of PPG Illustration of the probe-pattern line behavior through defocus; The top plots show the resist profiles IMPACT Lithography 25

26 Resist Profile Characterization through Scatterometry Measurement Indices characterization: T_TCD, T_MCD, T_BCD T_HT, P_TCD, P_MTCD, P_MBCD, P_BCD, P_HT, TP_HT Multiple parameter characterizations of Probe-Pattern Grating IMPACT Lithography 26

27 Scatterometry Measurement Scatterometry measurement wafer map and measured spectra IMPACT Lithography 27

28 Scatterometry Measurement Results Trench depth to Defocus slope: ~ 94.4nm/R.U. in the approximately linear range IMPACT Lithography 28

29 Conclusions A significantly high sensitivity of aerial image to defocus is obtained by PPG focus monitor. A linear model can be developed to translate the probe line trench depth into the focus error through scatterometry based ODP techniques. The average slope of the probe trench depth to focus is around 94.4nm / RU, which indicates that the sensitivity of the measurement is around 1.1nm defocus / nm trench depth, and the PPG focus monitor can detect the defocus distance to well under 0.05 Rayleigh Unit. Thanks to Jeffrey Schefske, Kwame Eason, and Phillip Jones in Spansion Inc. for supporting lithography and scatterometry measurements of this project Thanks to TEL/Timbre for supporting the scatterometry simulation tool at UC Berkeley. IMPACT Lithography 29

30 Optical System Characterization through Scatterometry Yu Ben and C. J. Spanos, EECS / UCB Test pattern design Measurements with ellipsometer ψ(λ) Δ(λ) CD SWA Data analysis to extract process parameters IMPACT Lithography 30 Profile extraction

31 Phase-shifted Dual-bar Grating Aberration causes CD difference between the two bars within one period of dual-bar grating In binary dual-bar grating, CD difference is insensitive to even aberrations Solution: 90 degree phase shift is introduced to one of the two bars Linearity is obtained between CD difference and even aberrations (shown in red for spherical aberration Z9) CD Difference (μm) Binary PSM Z9 (Wavelengths) IMPACT Lithography 31

32 System Generation & Verification IMPACT Lithography 32

33 System Performance / Goals Comparison of the original and extracted Zernike coefficients. The original coefficients are generated randomly to imitate a lithographic system with Strehl ratio of 97%. The extracted coefficients are obtained by using linear model without measurement noise. Experimental Verification Improve system by taking into account dose and focus information Improve the resist model Modify the linear model for better robustness against noise Difference of original and extracted Zernike coefficients in wavelengths. The extraction is done with virtual measurement noise. 50 different aberration settings are randomly generated to test the system. Normally distributed random noise with 3σ = 0.9 nm is introduced. The virtual measurement result is repeated 20 times to average out the noisy result. IMPACT Lithography 33

34 The Calculus of Clips Poolla, Neureuther, Spanos + TBD student Basic Assertion: Working with clips is more efficient and natural than distances/rules Potential opportunities for clip calculus Faster printability analysis Hot-spot detection and repair Mask fragmentation for multiple-patterning Clips Could be non-rectangular Standard cells, macros, etc Core Central part of a clip Context Outer part of a clip Library Collection of clips Core & Context depend on target application Ex: DRC, OPC, Printability analysis OPC re-use Faster DRC Faster RCX context clip mask The problem: algorithms to efficiently deal with clips core IMPACT Lithography 34

35 Ex: DRC Current Practice DRC brick is 2,000 pages and exploding, Conventional rule-based DRC at 22nm will be unmanageable Alternatives: Work with clips not distances Leverage the speed of pattern match Produce library of good, bad, or graded patterns Use library to detect and correct new design layouts Open problems Clip-based DRC, Hybrid Rule-Clip DRC, Redundancy removal in rules, Correction! Core and Context Core is the region that is DRC clean given the fixed Context Context may not be DRC clean as that depends on Context(Context) Use Case DRC Clean core Library of known DRC clean (in core) clips In a mask M, use PatternMatch against library L Can eliminate the core of every matched clip Context Will have to do DRC on remaining areas IMPACT Lithography 35

36 Clip Metrics What is a good metric on the space of clips? Difficult problem Must also extend to Alternating PSM, Attenuating PSM Metric must also be computable in the language of rectangles ci, c = # of Standard pixel based metrics fail: j Treats each pixel independently Does not respect proximity When are two clips similar? If the images in Silicon of the core of both clips are similar Litho model Clips Projection onto core agreeing pixels Suggests that we need application dependent weightings Exposure & Dose sensitivity analysis will have different weights IMPACT Lithography 36

37 Some Computational Problems mask M, clip c, library L, N = number of clips 1. ExactMatch: Find all instances of c in M [done] 2. RoughMatch: Find all sub-patterns p in M with d( p i c) pε 3. VolFind: Find Area(core union) 4. WhereNext: Find largest rectangle not covered by clips 5. ExactTile: Tile M with core of clips drawn from library i.e. choose tiling to maximize Area(core union) 6. RoughTile: Tile M with core of clips drawn from library such that context of mask sub-pattern p and library clip c are close: d( p i c) pε New Result For 3 & 4 we have N log(n) time algorithms with N log(n) pre-processing time Based on planar point location methods IMPACT Lithography 37

38 Some computational problems There are other very interesting problems Net-list covering by clips Library generation These are all problems in CS, with a twist Must compute based on rectangles Must respect hierarchy Must work Must work on huge problems Algorithm Classes Randomized Adaptive 2008 Goals Devise and analyze algorithms for basic clip operations Test clip-based DRC on modest layout for speed-up IMPACT Lithography 38

39 Collaborative Verification Discussion Sandboxes Berkeley Microlab BWRC DATA Industry Data SVTC via ASML Industry tools Industry CMOS SVTC via ASML ST Micro via BWRC IBM? Foundry via Marvell? Investigations Collab. Platform DfM Tool SEM impact to device Cell-to-Cell Interactions Double Patterning ODP Aberrations (May 08) Hyper-sensitive layouts RO for focus (May or Sep 08) IMPACT Lithography 39

40 Future Milestones Litho 1: Process effect monitoring and full chip assessment Lynn Wang (Gate): Interpret (BWRC, TI-SPIE); Litho SEM to electrical; RO concepts and CMOS layouts Eric Chin (Interconnect): DP issues; F-E model; layouts Litho 2: Electromagnetics Marshal Miller: Dry Lab monitors for ATT-PSM and plasmons Litho 3: Fast-CAD for double patterning Juliet Rubinstein: Z 0,Z 3,Z 9 F-E model; Interpret (IMEC-SPIE) Litho 4: Assessment tools for double patterning decomposition TBD: Explore application dependent clip weightings NT 4: ODP-based parameter extraction and silicon verification Yi Ben: Sim, Layouts and Exp. Prg. Aberrations NA 0.85, 1.35 IMPACT Lithography 40

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andy Neureuther and Costas Spanos, UCB Workshop 11/19/2003 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Blowing the horn

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project Feature-level Compensation & Control Sensors and Control September 15, 2005 A UC Discovery Project 2 Current Milestones Integrated sensor platform development 2 (M26 YII.16) Gather CMP and etching rate

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty IMPACT Internal Document for IMPACT Participants Only Summary IMPACT Roundtable Lithography + DfM Dialog on industry challenges and university research activities among technologists from Participating

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information