Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Size: px
Start display at page:

Download "Hypersensitive parameter-identifying ring oscillators for lithography process monitoring"

Transcription

1 Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic a a Dept. of Electrical Engineering and Computer Sciences, Univ. of California/Berkeley, Berkeley, CA USA *Ting0918@eecs.berkeley.edu ABSTRACT This paper applies process and circuit simulation to examine plausible explanations for measured differences in ring oscillator frequencies and to develop layout and electronic circuit concepts that have increased sensitivity to lithographic parameters. Existing 90nm ring oscillator test chip measurements are leveraged, and the performance of ring oscillator circuit is simulated across the process parameter variation space using HSPICE and the Parametric Yield Simulator in the Collaborative Platform for DfM. These simulation results are then correlated with measured ring oscillator frequencies to directly extract the variation in the underlying parameter. Hypersensitive gate layouts are created by combining the physical principles in which the effects of illumination, focus, and pattern geometry interact. Using these principles and parametric yield simulations, structures that magnify the focus effects have been found. For example, by using 90 O phase shift probe, parameter-specific layout monitors are shown to be five times more sensitive to focus than that of an isolated line. On the design side, NMOS or PMOS-specific electrical circuits are designed, implemented, and simulated in HSPICE. Keywords: ring oscillator, lithography, layout, focus monitor, phase-shifting mask, electronic testing, variability, DfM 1. INTRODUCTION Ring oscillator frequency data is an effective method for assessing manufacturing performance variation. While acquiring the data requires chip fabrication, it is possible to electrically measure quickly and produce a large body of information on performance variation versus location within the die, within the field, across the wafer, wafer to wafer, and lot to lot. Once the data is taken, however, it is very difficult to explain the sources of ring oscillator variation. This is because the ring oscillator, like the circuit itself, is dependent on many manufacturing factors. Ring oscillators have been reported as being very effective for monitoring production and identifying across chip variations for the same layout [4]. An example of extensive ring oscillator measurements, available to the authors, is the use of various gate geometry layouts as conducted by Liang-Teck Pang and Borivoje Nikolic at the Berkeley Wireless Research Center (BWRC) in collaboration with STMicroelectronic [1]. An approach to help unravel ring oscillator dependence in an automated manner is the Collaborative Platform for DfM developed by Wojtek Poppe [2]. This system combines lithography, non-planar transistor modeling, and circuit simulation. This paper uses the collaborative platform for DfM with generic process models to study CMOS ring oscillator circuits for the identification and quantification of sources of variability. Specifically, the paper explores three key uses. After background on the measurement and modeling techniques in Section 2, the platform is used in Section 3 to compare with the kinds of trends in variability seen in the experimental characterization of Pang. In Section 4 the platform is used to screen existing test structure layouts for improved sensitivity and to explore new phase-shifting layouts that are hypersensitive to focus. Finally, in Section 5, concept for improving the specificity of a circuit to NMOS versus PMOS effects is described. 2. BACKGROUND ON MEASUREMENTS AND MODELING 2.1 Example Results from 90nm Test Chip Design for Manufacturability through Design-Process Integration II, edited by Vivek K. Singh, Michael L. Rieger, Proc. of SPIE Vol. 6925, 69250P, (2008) X/08/$18 doi: / Proc. of SPIE Vol P-1

2 36 chips, 4032 data fastest coupt simulation slowest gffl RO frequency (F) Mizizi lilolol 1hz zi LIz 01 izizi IZIZI Tile 3a Tile 4a Tile 5a Figure 1. Example Results from 90nm Test Chip Figure 1 presents example results from the 90nm test chip developed by Pang [1]. For each across-wafer distribution, the fastest and the slowest chip for a 1mm by 1mm die are shown. From the measured data, a within die 3σ/µ variation of 3% is observed, and an across-wafer 3σ/µ variation of 18-20% is observed. Another interesting case is when one of the dummy polys is removed, a maximum frequency increase of 10% is observed (shown in Tile 4a and Tile 5a measured data). However, whether the dummy poly is placed to the right or left of the gate-under-test has minimal effect on the ring oscillator frequency the measured difference is approximately 1%. The measured data could be explained using simulations in HSPICE and the Collaborative Platform for DfM [2]. 2.2 Parasitic Capacitance and Frequency Sensitivity Study The basis ring oscillator performance is sensitive to geometry variations of both the NMOS and PMOS gates. Figure 2 presents a capacitance and a comparative frequency sensitivity study of the normalized ring oscillator performance to the normalized fractional change in the NMOS gates, PMOS gates, and both the NMOS and PMOS gates. Normalized RO Freq vs. Normalized Channel Length Normalized RO Frquency Slope = Tile 3a Both Tile 4a Both Tile 5a Both Tile 3a NMOS Tiela 3a PMOS Slope: Normalized Channel Length Figure 2. Drawn Layout Capacitance and Frequency Sensitivity Study Proc. of SPIE Vol P-2

3 Without any lithography simulations, the drawn gate channel length for NMOS, PMOS, and both NMOS and PMOS is varied for layouts Tile 3a, Tile 4a, and Tile 5a. The change in ring oscillator frequency due to the varying of the drawn channel length is simulated via HSPICE, and results are presented in Figure 2. The frequency increase seen in the measured data for Tile 4a and Tile 5a compared to that of Tile 3a is not due to parasitic capacitances since the three sensitivity curves for each of the layouts collapse on to one another. Also, a change in 10% drawn channel length causes an 18.1% change in ring oscillator frequency. This could be observed from the slope at (1.00, 1.00), where slope is defined as the ratio of change of the normalized ring oscillator frequency to the normalized drawn channel length. Figure 2 shows that the combined effect of varying both NMOS and PMOS is twice as sensitive as that of varying NMOS or PMOS alone. For the NMOS or PMOS gates alone, the sensitivity to gate length change is When both the NMOS and PMOS gates change together by the same fraction, the sensitivity is 1.81 The reason behind the comparative analysis shown in Figure 2 is due to the fact that the Collaborative Platform for DfM consists only of the NMOS non-rectangular transistor model. 2.3 Collaborative Platform for DfM: Parametric Yield Simulator (PYS) Calibre Module 1 Processing Module 3 Circuit Circuit Simulation across characterized process window BSIM transistor model Module 2 Device Non-rectangular transistors Figure 3. Parametric Yield Simulator (PYS) The study conducted here is based on the actual layouts developed by Pang for the 90nm ST Microelectronic technology as inputs to the Parametric Yield Simulator (PYS) in the Collaborative Platform for DfM. Figure 3 presents the flow of PYS. Layouts input in to Calibre. A lithography simulation is then made of the layouts to predict gate contour shapes. For the NMOS devices, the gate shapes are then converted using a non-rectangular transistor model to an equivalent rectangular gate length for a generic BSIM model available at [5]. The HSPICE parameters are then extracted for the original layout. The process modeling shown is generic and without calibration against actual silicon. Specifically for the 193 nm dry lithography, a numerical aperture of 0.78 and annular illumination with sigmas of 0.88 and 0.4 are assumed. A predictive 90nm BSIM model that can be obtained from [5] is used. The focus ranges to plus and minus 0.75 Rayleigh units. The dose is varied from plus to minus 6% from nominal. A variable threshold resist is used for a typical resist. From Parametric Yield Simulations, Bossung plots of the variation in effective non-rectangular linewidth (Leffective) versus focus and dose is shown in Figure 4 and Figure 5. The data has the basic Bossung shape although the nominal dose might have been increased by a few percent centered on a less focus dependent linewidth. Both isolated line (Figure 4) and dense lines (Figure 5) are shown for comparison. Proc. of SPIE Vol P-3

4 For purposes of sensitivity analyses, observations from the range of -80nm to 40nm focus are quoted. This corresponds to a 120 nm range from edge to center of focus, approximately 0.75 of a Rayleigh unit. Percent variation in Leffective is extracted from the curvature under nominal dose condition. L effective. vs. Focus: 90nm 110 Leffective (nm) Defocus (nm) Figure 4. Bossung Plot of Leffective vs. Focus and Dose for 90nm Isolated Line L effective. vs. Focus: Tile 3a 110 Leffective (nm) Defocus (nm) Figure 5. Bossung Plot of Leffective vs. Focus and Dose for Dense Layout From the sensitivity range of -80nm to 40nm focus, for the isolated line layout (Figure 4), a 5.5% variation in Leffective is noted. For the same range, a 3.0% variation in Leffective is observed from the dense layout (Figure 5). 3. PREDICTION OF RING OSCILLATOR FREQUENCY VARIATION The prediction of electrical ring oscillator frequency is based on the lithography modeling, non-rectangular extraction, and circuit analysis. Figure 6, 7, and 8 are obtained by extracting the Leffective of the NMOS transistor from Parametric Yield Simulations and simulating the original ring oscillator with the extracted Leffective via HSPICE. These results do not include any changes in the CMOS devices and so should predict only about half of the variation observed Proc. of SPIE Vol P-4

5 experimentally. Figure 6 shows a Bossung-type plot for ring oscillator performance for dense layout structure (Tile 3a) versus focus and dose. RO Freq. vs. Focus: Tile 3a 1.12 Normalized RO Freq Defocus(nm) Figure 6. Bossung Plot of Ring Oscillator Frequency versus Focus and Dose Recall from Figure 1 that the across-wafer 3σ/µ variation is approximately 18-20%. Assuming a process window of + 2% dose and -80nm to 40nm focus (denoted by the circles in Figure 6), we observe only a 5.2% variation in frequency, instead of the 18-20% measured variation. This implies that the frequency variation is due to a non-lithographic cause. 3.1 Study of Layout Asymmetry Prediction of ring oscillation frequency for layout Tile 4a and Tile 5a is shown in Figure 7. RO Freq. vs Focus: Tile 4a & 5a 1.2 Normalized RO Frequency a_94 5a_ 5a_106 4a_94 4a_ 4a_ Defocus (nm) Figure 7. Ring Oscillator Frequency vs. Focus for Different Dose Conditions for Tile 4a and 5a Figure 7 presents Bossung plots for both Tile 4a and 5a for ring oscillator frequency versus focus and dose. The two sets of curves are very similar, which show that the split between the two layouts due to the effect of symmetry on Leffective is well less than 1 nm and hence below 1%. This small split agrees with there being a negligible difference in measurements from Tile 4a and 5a. Since the curves are identical to within 1% of Leffective for Tile 3a, coma does not Proc. of SPIE Vol P-5

6 appear to account for the shift of Tile 4a and 5a to higher frequencies. Etching of a gate with a dummy poly on only one side would however be consistent with a frequency increase. 4. IMPROVED LAYOUT MONITORS Layouts with very high sensitivities to exposure, focus, and lens aberrations can be used as monitors for sources of variation. Isolated lines are such a feature, being highly sensitive to focus, and will serve as the reference point for even more sensitive layouts. The design and analysis of improved focus monitors are explored in this section. Layout sensitivities may be evaluated using the Collaborative Platform for DfM to identify good candidates. An initial screening study using the Collaborative Platform was conducted by Wojtek Poppe for multi-fingered gates [8]. Figure 8 shows the evaluation of this layout for sensitivity to focus. A high sensitivity to focus for gate spacings prior to SRAF insertion is observed. CD shift with Defocus (OPC) CD shift from nominal (nm) 4 20nm nm 3 60nm 80nm Focus Sensitive Pitch Focus Insensitive Pitch -1 pitch (nm) Figure 8. Through pitch response to focus of the center line in a five, 80nm line arrays. Figure 8 shows the CD shift versus pitch, where CD shift is the difference between the simulated dimension at nominal conditions and at the specified level of defocus. The encircled region shows a 4.5% shift from nominal CD. L effective. vs. Focus: Non-Rectangular Geometry Leffective (nm) DOF(nm) Defocus (nm) (a) Figure 9. Parameter-Specific Layout Monitor: Gate with a Bulge in the Center An even more sensitive layout monitor, shown in Figure 9(a), consists of a gate with a bulge in its center. Figure 9(b) shows the Bossung plots of Leffective versus focus and dose for the focus monitor. For the same sensitivity region of - (b) Proc. of SPIE Vol P-6

7 80nm to 40nm of focus, a 12.8% variation in Leffective is observed. This is twice as sensitive as the point of reference, the isolated line shown in Figure 4. L effective. vs. Focus: 1-Ring Defocus Target Leffective (nm) Defocus DOF(nm) (nm) (a) (b) Figure 10. Parameter-Specific Layout Monitor: Interferometric 90 O Phase Shift Juliet Rubinstein and Wojtek Poppe also developed layouts for gates with additional surrounding features that were substantially more sensitive to focus than the isolated line shown in Figure 4 [6][7][8]. The most sensitive of these designs utilize regions with a 90 O probe and could detect the sign as well as magnitude of defocus. An example of such a monitor is shown in Figure 10(a). The focus monitor consists of a gate with the source to the left and drain to the right of the gate. The box in Figure 10(a) represents a 90 O probe on top of the gate. The amount of light spillover into the central probe depends on the direction of focus. The Bossung plot for this monitor is shown in Figure 10(b), showing Leffective versus focus and dose. The linear relationship across focus in Figure 10(b) makes this design particularly useful as a monitor. For the sensitivity range of - 80nm to 40nm of focus, a 27.8% variation of Leffective is observed. This monitor is five times more sensitive than that of the point of reference, the isolated line, and is thus termed as a hypersensitive layout monitor. Table 1 presents a summary of Leffective variations presented in this paper. Focus Monitors -80nm to 40nm Focus Dense 3.0% Isolated 5.5% Bulge (nonrectangular) 12.8% Phase Shift 27.8% Table 1. Summary of variation in Leffective from -80nm to 40nm Focus 5. IMPROVED ELECTRICAL CIRCUITS A great area for invention is combining circuit knowledge with device sensitivity to manufacturing parameters in order to identify and quantify variations. Ripe areas include creating and operating circuits in modes that are highly sensitive to any change. They also include developing device and parameter isolation schemes. An example here is a new circuit Proc. of SPIE Vol P-7

8 design for which the performance is dominated by NMOS or PMOS only and thus facilitates characterization of these devices individually. Large P-MOS to enable fast pull-up Vfb Vin Vout Gate under Test 10x inverter with small lithography effects Connects back to Vfb to reset earlier stages Figure 11. Basic Module: NMOS-Sensitive Electrical Circuit Shown in Figure 11 is an NMOS-sensitive self-reset circuit that has been designed, implemented, and simulated in HSPICE. It consists of an NMOS gate under test, a large PMOS for fast pull-up, and a large inverter that is sized ten times bigger than the rest of the circuit so that it would less vulnerable to lithography variations. The feedback node connects back to the large PMOS to rest the earlier stages. I Control Module Module Module Module Module Module Input Buffer Scan Chain Enable/Disable Feedback/Reset Network Figure 12. Six-Stage Self-rest Circuit Figure 12 shows a six stage self-reset circuit that is implemented in HSPICE. It consists of a control network with a sixstage module. There is a signal feedback every three modules to reset earlier signals. Proc. of SPIE Vol P-8

9 H : _±.-- ±_-_._±._. ±_-.!!. ±_ Figure 13. Simulations Results for Self-reset Circuit Show Sensitivity to N-MOS Devices Figure 13 shows simulation results for the NMOS-sensitive self-reset circuit. If the drawn gate length is varied by 10% in the NMOS device under test, the output frequency changes by 8%. By comparison, if the drawn gate length of the PMOS device were varied by 10%, the ring oscillator frequency changes by only 2%. This circuit is 4 times more sensitive to the NMOS than to the PMOS. This circuit could be made even more sensitive by increasing the PMOS/NMOS transistor ratio. 6. CONCLUSIONS Possible ring oscillator variations are examined by looking at the existing ring oscillator measurements for the 90nm test chip developed by Liang-Teck Pang and by looking at the corresponding simulations using the Collaborative Platform for DfM by Wojtek Poppe that allows processing, device modeling, and circuit performance to be analyzed. Variations seen in measured data is concluded not to be dominated by lithography. While the measured data from the 90nm test chip show a 3σ/µ across wafer variation of 18-20%, simulations show that lithography is well-controlled, and only 5.2% variation across an assumed process window is attributed to lithography. Hypersensitive 90 O phase-shift layout monitors with five times the sensitivity to focus compared to that of an isolated line are recommended. Lastly, novel circuit concepts can improve NMOS and PMOS device specificity. A circuit that shows an NMOS sensitivity that is 4 times greater than that of the PMOS is presented. 7. ACKNOWLEDGEMENTS The authors would like to acknowledge STMicroelectronic for chip donation, Mentor Graphics for Calibre tool donation, the industry through the UC Discovery Program and SRC 1443, and FLCC/IMPACT grant: Advanced Micro Devices, Applied Materials, ASML, Cadence, Canon, Cymer, Ebara, Hitachi Global Storage Technologies, Intel, KLA-Tencor, Magma Mobility Electronics, Mentor Graphics, Nikon Research, Novellus Systems, Panoramic Technologies, Photronics, Synopsis, Tokyo Electron, Toppan Photomasks, IBM, Xilinx, Marvell, and Sandisk. 1 REFERENCES L.-T. Pang, B. Nikolic, Impact of Layout on 90nm CMOS Process Parameter Fluctuations, 2006 Symposium on VLSI Circuits, Digest of Technical Papers. N. Bluzer and A. S. Jensen, "Current readout of infrared detectors," Opt. Eng. 26(3), (1987). 2 A.H. Gabor, et al, Improving the Power-Performance of Multicore Processors Through Optimization of Lithography and Thermal Processing, SPIE, Volume (2007). Proc. of SPIE Vol P-9

10 3 W. Poppe, et al, Platform for collaborative DFM, SPIE, Volume 6156 (2006). 4 Y. Borodovsky, Impact of Local Partial Coherence Variations on Exposure Tool Performance, SPIE, Volume 2440 (1995) J. Rubinstein, A. Neureuther, Images in Photoresist for self-interferometric electrical image monitors, Proceedings of the SPIE, Volume 6730, pp (2007) 7 J. Rubinstein (Holwill), and A. Neureuther, Self-Interferometric Electrical Image Monitors, SPIE Microlithography - Design and Process Integration, February, W, Poppe, Ph.D Thesis, December 2007 Proc. of SPIE Vol P-10

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators

Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators Parameter-Specific Electronic Measurement and Analysis of Sources of Variation using Ring Oscillators Lynn T.-N. Wang* a, Liang-Teck Pang a,b, Andrew R. Neureuther a, Borivoje Nikolić a a Dept of EECS,

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

Design and Measurement of Parameter-Specific Ring Oscillators

Design and Measurement of Parameter-Specific Ring Oscillators Design and Measurement of Parameter-Specific Ring Oscillators Lynn Tao-Ning Wang Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2010-159

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley

2008 IMPACT Workshop. Faculty Presentation: Lithography. By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley 2008 IMPACT Workshop Faculty Presentation: Lithography By Andy Neureuther, Costas Spanos, Kameshwar Poolla, EECS and ME, UC Berkeley IMPACT Lithography 1 Current Milestones Litho 1: Develop and experimentally

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Effects of grid-placed contacts on circuit performance

Effects of grid-placed contacts on circuit performance Title Effects of grid-placed contacts on circuit performance Author(s) Wang, J; Wong, AKK Citation Cost and Performance in Integrated Circuit Creation, Santa Clara, California, USA, 27-28 February 2003,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

CMOS 65nm Process Monitor

CMOS 65nm Process Monitor CMOS 65nm Process Monitor Final Report Fall Semester 2008 Prepared to partially fulfill the requirements for ECE401 Department of Electrical and Computer Engineering Colorado State University Fort Collins,

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Shaping Gate Channels for Improved Devices

Shaping Gate Channels for Improved Devices Shaping Gate Channels for Improved Devices Puneet Gupta 1 (puneet@ee.ucla.edu), Andrew B. Kahng 2 (abk@cs.ucsd.edu), Youngmin Kim 4 (kimyz@eecs.umich.edu), Saumil Shah 3 (saumil@blaze-dfm.com), Dennis

More information

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Jason P. Cain, a1 Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency

Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency Fully depleted, thick, monolithic CMOS pixels with high quantum efficiency Andrew Clarke a*, Konstantin Stefanov a, Nicholas Johnston a and Andrew Holland a a Centre for Electronic Imaging, The Open University,

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Robert Ashton 1, Stephen Fairbanks 2, Adam Bergen 1, Evan Grund 3 1 Minotaur Labs, Mesa, Arizona, USA

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing

Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing Extreme Temperature Invariant Circuitry Through Adaptive DC Body Biasing W. S. Pitts, V. S. Devasthali, J. Damiano, and P. D. Franzon North Carolina State University Raleigh, NC USA 7615 Email: wspitts@ncsu.edu,

More information

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization *

On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * On-Chip Transistor Characterization Arrays with Digital Interfaces for Variability Characterization * Simeon Realov, William McLaughlin, K. L. Shepard Department of Electrical Engineering, Columbia University

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Annals of the Academy of Romanian Scientists Series on Science and Technology of Information ISSN 2066-8562 Volume 3, Number 2/2010 7 LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Vlad ANGHEL

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Defocus-Aware Leakage Estimation and Control

Defocus-Aware Leakage Estimation and Control Defocus-Aware Leakage Estimation and Control Andrew B. Kahng CSE and ECE Departments UC San Diego abk@cs.ucsd.edu Swamy Muddu ECE Department UC San Diego smuddu@ucsd.edu Puneet Sharma ECE Department UC

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

Christopher J. Barnwell ECE Department U. N. Carolina at Charlotte Charlotte, NC, 28223, USA

Christopher J. Barnwell ECE Department U. N. Carolina at Charlotte Charlotte, NC, 28223, USA Copyright 2008 IEEE. Published in IEEE SoutheastCon 2008, April 3-6, 2008, Huntsville, A. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information