Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Size: px
Start display at page:

Download "Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology"

Transcription

1 Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming Jeremy Wei b ; Zhi-Qing James Xu b ; Sungchul Yoo b ; Chien-Jen Eros Huang b ; Chao-Yu Harvey Cheng b ; Juli Cheng b ; Lanny Mihardja b ; Houssam Chouaib b a United Microelectronics Corp. (UMC); Tainan, Taiwan, R.O.C. b KLA-Tencor Corporation; one Technology drive, Milpitas, CA 95035, U.S.A. ABSTRACT Scatterometry-based metrology measurements for advanced gate after-develop inspection (ADI) and after-etch inspection (AEI) structures have been well proven 1. This paper discusses the metrology challenges encountered in implementing a production-worthy methodology for accurately measuring gate ADI middle CD (MCD) and sidewall angle (SWA) to monitor focus and exposure dose. A Multi-Target Measurement (MTM) methodology on KLA-Tencor s SpectraShape 8810 was evaluated on its ability to characterize and measure FEM (Focus Exposure Matrix) and EM (Exposure Matrix) wafers. The correlation of MCD and SWA to the focus and exposure dose was explored. CD-SEM measurements were used as a reference to compare the accuracy of scatterometry MCD measurements. While there was no reference tool available to compare scatterometry SWA measurements, the SWA and focus tracking on the FEM wafer were verified. In addition to the MTM methodology evaluation, a fleet of four SpectraShape 8810 tools was evaluated to measure the fleet s capability for in-line monitoring in high volume manufacturing. The final results confirmed that the Multi-Target Measurement approach on SpectraShape 8810 is an effective solution for gate ADI metrology and the robust fleet matching performance would enable in-line monitoring use. Keywords: Scatterometry, MTM, Multi-Target Measurement, Sensitivity, FEM, Focus Exposure Matrix, EM, Gate ADI, Parameter Correlation 1. INTRODUCTION Scatterometry Critical Dimension (SCD) technology has been widely adopted as a CD and shape process control solution for today s advanced design nodes. In this study, SCD applications for front end of line (FEOL) 28nm gate ADI FEM and EM wafers were explored. One of the currently existing SCD methods to measure and monitor gate ADI is to separately measure MCD on the dense grating target to monitor the exposure modulation, and to perform another measurement for SWA on the isolated grating target to monitor the focus modulation. Simultaneous MCD and SWA measurement usually yields inaccurate results due to the limited sensitivity of and the high parameter correlation between the parameters of interest. In this paper we first evaluated the Multi-Target Measurement (MTM) method available on the SpectraShape 8810 to address the challenges associated with simultaneous MCD and SWA measurements. Our data analyses provided solid evidence that this method is highly effective in breaking the strong parameter correlation and in providing the unique sensitivity needed for gate ADI applications. Secondly, we verified the accuracy of the MTM results with CD-SEM reference data. The analysis showed that the SCD result has high correlation to CD-SEM reference data and less error in the measurement data than CD-SEM. Finally, tool fleet performance was evaluated to assess SpectraShape 8810 fleet capability for gate ADI in-line monitoring. The data showed that MTM and superior tool-to-tool matching performance provides the robustness needed in high volume manufacturing. Metrology, Inspection, and Process Control for Microlithography XXVI, edited by Alexander Starikov, Proc. of SPIE Vol. 8324, SPIE CCC code: X/12/$18 doi: / Proc. of SPIE Vol

2 In summary, as modern semiconductor device feature dimensions become more and more critical, they become increasingly more challenging to control. Effectively monitoring and controlling the gate ADI process reduces gate AEI process variation and improves device performance. KLA-Tencor s SpectraShape 8810 scatterometry-based CD and shape metrology system with MTM capability provides an in-line monitoring solution for gate ADI high volume manufacturing because of its sensitivity, robust parameter de-correlation, and the non-destructive nature of the measurements. 1.1 Scatterometry Based Metrology Scatterometry Critical Dimension (SCD) metrology tools are a widely adopted metrology solution for 90, 65, 45, and 40nm poly gate devices at the gate ADI and AEI process levels. SCD is utilized as an advanced process control (APC) system to monitor process variations with higher accuracy compared to a traditional CD-SEM tool. A new-generation SCD tool, the SpectraShape 8810, uses broadband light (down to wavelengths in the extreme UV portion of the spectrum 2 ),multi-azimuth angles (AZ), spectroscopic ellipsometer (SE) technology, and enhanced ultra-violet reflectivity (euvr) to enhance critical parameters sensitivities and reduce the correlation of the parameters. With the advancement of semiconductor processes and the shrinkage of node size below 28nm, metrology measurements for poly gate levels are becoming more and more challenging. The smaller physical size of the structures lowers the sensitivity signals and the more complex underlayer film stacks interfere with the already limited sensitivity to cause high parameter correlation. One of the measurement methods available on the SpectraShape 8810 is Multi-Target Measurement (MTM). The concept of MTM is to utilize the unique sensitivity of different measurement targets at different wavelength to break the strong parameter correlation. The spectral response collected at the different targets are analyzed simultaneously to extract the unique sensitivities of each parameter of interest, while at the same time constraining them based on the known parameter constraints on each target. In this study, the capability of MTM to improve and optimize gate ADI metrology applications was explored. Figure 1 shows the schematic overview of the SCD MTM measurement flow. Spectral responses from three targets dense grating, iso grating, and film pad are collected and then analyzed simultaneously. Figure 2 shows the unique sensitivity signals obtained at different wavelengths from different targets. Proc. of SPIE Vol

3 Fig. 1: Schematic overview of SCD MTM methodology flow. Figure 2: The overview of the SCD MTM concept. Proc. of SPIE Vol

4 2. GATE ADI PARAMETERS AND MEASUREMENT RESULTS 2.1 Gate ADI MCD and SWA Analyses on FEM Wafer In-line monitoring of gate poly critical dimensions at the after-develop inspection (ADI) process layer is critical to device performance 3. To study the sensitivity of scatterometry measurements for the gate ADI layer, two design-ofexperiment (DOE) wafers were prepared and measured after the ADI process step on the SpectraShape One wafer was exposed to Focus Exposure Matrix (FEM) lithography conditions and the second wafer was only exposed to Exposure Matrix (EM) lithography conditions. For the same DOE conditions the PMOS and NMOS structure targets were created. The detailed layer structures of the CD gratings and film pad are shown in figure 3. The parameters of interest are listed in table 1. L8 L7 L11 L6 L5 L4 L3 L2 Dense and Iso Grating pad Film pad Figure 3. Gate ADI grating and film pad model and stack information Table 1. Parameters of interest and technology used for this model. Proc. of SPIE Vol

5 As shown in figure 3, there are seven different film layers under the resist in this structure. This complex underlayer scheme adds another dimension to the challenge of SCD measurement as the signals of the individual layers interact with each other, resulting in strong parameter correlation between two or more layers in the model. For the FEM wafer, the middle CD (MCD) parameter is an effective parameter to track and monitor the exposure or energy modulation, while the sidewall angle (SWA) tracks the focus modulation. In our test, we first used a single-target SCD measurement approach to measure the critical parameters for gate ADI. Two separate SCD measurements were performed to solve for MCD and SWA: one on the dense grating target and the other on the iso grating target. From the dense grating measurement, the MCD measurement results correctly tracked the exposure level, but the SWA measurement results provided an incorrect focus trend. From the iso grating measurement, the SWA measurement results correctly tracked the focus level, but the MCD results did not correctly track the energy modulation. From these results, it was clear that a single-target measurement approach only provided selective sensitivity for either MCD or SWA and not both at the same time. Figure 4 shows the SCD measurement results from the FEM wafer using single-target measurements. Figure 4. A single-target measurement approach provides only selective sensitivity for MCD or SWA on a FEM wafer. Understanding this selective sensitivity for MCD and SWA from different targets provided the key information that all the sensitivity signals are available for all parameters of interest if the inputs from both the dense and the iso gratings are taken into account and analyzed together. Therefore, the use of a Multi-Target Measurement and analysis approach for gate ADI applications is imperative. The methodology explored in this study combined the advanced SCD modeling software AcuShape2 with the analysis of MTM and floating n,k. The multi targets utilized included: dense grating, iso grating, and resist film pad. The critical parameters of interest being solved for were MCD, SWA, and the resist height (HT). The final CD measurement using this methodology confirmed that the simultaneous sensitivity of MCD and SWA was achieved with no parameter correlation. Figure 5 shows wafer maps of MCD and SWA measurements on which the focus and exposure dose trends across the FEM wafer are correctly tracked. Proc. of SPIE Vol

6 Figure 5. Simultaneous accurate MCD and SWA measurements on a FEM wafer using MTM and AcuShape2 on the SpectraShape Further verification of the measurement accuracy was done by measuring the gate structure CD on a reference CD-SEM tool, and generating an SCD and CD-SEM correlation plot. The correlation plot confirmed high correlation of the SCD results to the CD-SEM measurement, meaning the SCD results are accurate. Furthermore, Bossung curves of SCD and CD-SEM measurements were generated and analyzed. Bossung curves from SCD measurements track both focus and exposure dose modulation with less error than the CD-SEM measurements. Figure 6 displays the correlation plot of SCD and CD-SEM measurements and the Bossung curve comparison between the two measurement tools. Figure 6. SCD and CD-SEM correlation plot and Bossung curves from measurements made on the FEM wafer. Proc. of SPIE Vol

7 In the next verification step, another FEM wafer was prepared with a larger focus and exposure modulation step across the wafer. In the previous FEM wafer test, the wafer was prepared with a relatively small focus and exposure modulation step across the wafer. In this new FEM wafer, the expected Bossung curve trend for the dense grating is U-shaped, while the expected Bossung curve trend for the iso grating is upside-down U-shaped. This trend curve is sometimes referred to as a rainbow curve. As part of this verification step, the measurements of SCD and CD-SEM were again compared and analyzed. The results (figure 7) show that SCD tracked the exposure modulation correctly for both the dense and iso gratings on NMOS and PMOS targets. Figure 7. SCD tracks the expected Bossung curves for a different FEM wafer with larger modulation steps across the wafer. 2.2 Gate ADI CD and SWA Analysis on EM Wafer A similar test suite was performed on an EM wafer to further verify the SCD measurement robustness and accuracy. On an EM wafer, the parameter of interest MCD tracks the exposure modulation trend across the wafer. There is no focus modulation on an EM wafer, therefore a SWA measurement should have negligible variation across the wafer, showing no clear trends other than those related to process noise. The test result supported these expectations; the statistical distribution of the SWA measurement across the wafer was negligible (SWA mean across the wafer is degree, 3-sigma 0.5%, and range 0.3%) and within the expected process variation tolerance. The MCD measurement clearly indicated the expected exposure modulation across the wafer. Similar to FEM wafer test, the SCD and CD-SEM correlation plot and Bossung curves of the EM wafer were also generated to verify SCD measurement accuracy. Figures 8 shows the SCD wafer maps from measurements of the EM wafer. The SCD/CD-SEM correlation plot and Bossung curves are shown in figure 9. Finally, the SCD and CD-SEM correlation values for the exposure or energy trend were analyzed and summarized for both the FEM and EM wafers (figure 10). The correlation values R 2 for the SCD measurements were higher than that of the CD-SEM measurements, confirming that the SCD measurements contained less error than CD-SEM measurements. Proc. of SPIE Vol

8 Figure 8. SCD wafer maps of MCD and SWA for an EM wafer. Figure 9. SCD and CD-SEM correlation plot and Bossung curves of an EM wafer. Proc. of SPIE Vol

9 Figure 10. SCD and CD-SEM correlation with exposure (or energy). 2.3 SCD Tool Fleet Matching Capability As advanced node processes progress from R&D to pilot and eventually high volume manufacturing, the need for a robust metrology tool fleet is essential. Tool-to-tool matching performance is required to be within the process control specification threshold to avoid negative impact to the fleet data integrity and APC monitoring. In order to evaluate the tool fleet performance for gate ADI SCD applications, four SpectraShape 8810 tools were evaluated in this study. The same set of FEM and EM wafers were used to analyze the tool-to-tool matching performance. The first analysis was done by performing MCD and SWA site-by-site measurements and comparing the site-by-site results across the four tools. The results showed that the four tools highly matched with each other on both FEM and EM wafer measurements with a range (or bias) lower than 0.09 nm for MCD and 0.09 degree for SWA. Figures 11 and 12 display the FEM and EM wafers site-by-site measurement results for all four tools, and table 2 presents the measurement bias for the tool fleet. Figure 11. FEM wafer site-by-site measurement results from four SpectraShape 8810 tools. Proc. of SPIE Vol

10 Figure 12. EM wafer site-by-site measurement results from four SpectraShape 8810 tools. SpectraShape 8810 Tool Fleet Matching Analysis Fleet FEM wafer EM wafer MCD (nm) SWA (degree) MCD (nm) SWA (degree) Tool Tool Tool Tool Range Table 2. Tool fleet matching analysis. In order to complete the tool fleet matching analysis, the MCD and SWA were measured across both FEM and EM wafers to generate the trend wafer maps. Once again, the fleet demonstrated superior tool-to-tool matching performance. Figures 13 and 14 display the FEM trend wafer maps and EM trend wafer maps respectively. From these studies, we can conclude that the SpectraShape 8810 fleet has the performance robustness required for in-line gate ADI monitoring in high volume manufacturing. Figure 13. FEM wafer maps of MCD and SWA measured by four SpectraShape 8810 tools. Proc. of SPIE Vol

11 Figure 14. EM wafer maps of MCD and SWA measured by four SpectraShape 8810 tools. 3. SUMMARY As semiconductor structures become increasingly complex, they require ever more sophisticated metrology tools for characterization and process control. Highly flexible SCD measurement methods target the sensitivity of critical parameters of interest and achieve the required precision and accuracy for tracking process trends. Robust fleet matching performance is crucial for high volume manufacturing. For advanced node gate ADI metrology measurements and process monitoring, the KLA-Tencor SpectraShape 8810 demonstrated superior performance compared to the CD-SEM reference tool, and met the required capabilities through Multi-Target Measurement (MTM), AcuShape2 modeling software, and superior tool fleet matching performance. REFERENCES [1] Yu-Hao Huang, Howard Chen, Kyle Shen, H H Chen, Chun Chi Yu, J H Liao, Xiafang(Michelle) Zhang, Russell Teo, Zhi-Qing (James) Xu, Sungchul Yoo, Ching-Hung Bert Lin,Chao-Yu Harvey Cheng, Jason Lin, Scatterometry Measurement for Gate ADI and AEI Critical Dimension of 28nm Metal Gate Technology, Proc. SPIE Vol. 7971, (2011). [2] Matthew Sendelbach, Alok Vaid, Pedro Herrera, Ted Dziura, Xiafang Zhang, Arun Srivatsa, Use of multiple azimuthal angles to enable advanced scatterometry applications, Proc. SPIE Vol. 7638, (2010). [3] Thaddeus G. Dziura, Benjamin Bunday, Casey Smith, Muhammad M. Hussain, Rusty Harris, Xiafang Zhang, Jimmy M. Price, Measurement of high-k and metal film thickness on FinFET sidewalls using Scatterometry, Proc. SPIE Vol. 6922, (2008). [4] Sendelbach, M., Natzle, W., Archie, C. N., Banke, B., Prager, D., Engelhard, D., Ferns, J., Yamashita, A., Funk, M., Higuchi, F., Tomoyasu, M., "Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control" in Metrology, Inspection, and Process Control for Microlithography XVIII, edited by Richard M. Silver, Proceedings of SPIE Vol (SPIE, Bellingham, WA 2004) pp , (2004). Proc. of SPIE Vol

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Improved scanner matching using Scanner Fleet Manager (SFM)

Improved scanner matching using Scanner Fleet Manager (SFM) Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Post-OPC verification using a full-chip Pattern-Based simulation verification method Post-OPC verification using a full-chip Pattern-Based simulation verification method Chi-Yuan Hung* a, Ching-Heng Wang a, Cliff Ma b, Gary Zhang c, a Semiconductor Manufacturing International (Shanghai)

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Lynn Tao-Ning Wang* a, Wojtek J. Poppe a, Liang-Teck Pang, a, Andrew R. Neureuther, a, Elad Alon, a, Borivoje Nikolic

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Achievement of Arbitrary Bandwidth of a Narrow Bandpass Filter

Achievement of Arbitrary Bandwidth of a Narrow Bandpass Filter Achievement of Arbitrary Bandwidth of a Narrow Bandpass Filter Cheng-Chung ee, Sheng-ui Chen, Chien-Cheng Kuo and Ching-Yi Wei 2 Department of Optics and Photonics/ Thin Film Technology Center, National

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

(Ar [ Si O Si O] m )n

(Ar [ Si O Si O] m )n The widespread adoption of advanced packaging techniques is primarily driven by electrical device performance and chip form factor considerations. Flip chip packaging is currently growing at a 27% compound

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Templates, DTR and BPM Media

Templates, DTR and BPM Media Complete Metrology Solutions Imprint Technology Templates, DTR and BPM Media Simultaneous and Non-Destructive Measurements of Depth Top and Bottom CD Residual Layer Thickness, RLT DLC Thickness Side Wall

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Setting up a proper power spectral density (PSD) and autocorrelation analysis for material and process characterization Vito Rutigliani,

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996

Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996 Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996 Correlation between Particle Defects and Electrical Faults determined with Laser Scattering Systems and Digital

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers Warren W. Flack, Scott Kulas Ultratech Stepper, Inc. San Jose, CA 95134 Craig Franklin HD Microsystems Austin,

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Ute Buttgereit a, Robert Birkner a, Erez Graitzer b, Avi Cohen b, Benedetta Triulzi c, Carmelo Romeo c a

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Gas sensors using single layer patterned interference optical filters. Abstract

Gas sensors using single layer patterned interference optical filters. Abstract Gas sensors using single layer patterned interference optical filters Thomas D. Rahmlow, Jr 1., Kieran Gallagher and Robert L Johnson, Jr. Omega Optical, 21 Omega Drive, Brattleboro, VT 05301 USA Abstract

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 22, NO. 3, AUGUST 2009 351 Photolithography Control in Wafer Fabrication Based on Process Capability Indices With Multiple Characteristics W. L. Pearn,

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array 2017 2nd International Conference on Applied Mechanics, Electronics and Mechatronics Engineering (AMEME 2017) ISBN: 978-1-60595-497-4 Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information