Critical Dimension Sample Planning for 300 mm Wafer Fabs

Size: px
Start display at page:

Download "Critical Dimension Sample Planning for 300 mm Wafer Fabs"

Transcription

1 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC Berkeley Critical dimension (CD) control is crucial in photolithography and etch processing steps, because of the relationship between gate length and device speed performance. To control the CD, values of lot average and/or lot variance are generally plot - ted on SPC charts to detect mean and variance excursions that occur during these processes. An optimal sampling plan and control methodology must not only enable resolution of important (yield-impacting) excursions, but also minimize the time it takes to detect an excursion, thereby minimizing the number of lots exposed to an excursion. A CD sampling plan specifies what CD measurements are performed, i.e., how many lots, how many wafers per lot, how many fields per wafer, and how many sites per field; as well as which wafers, fields, and sites are measured. The control methodology specifies how CD measurements are used to characterize normal variations and monitor and control deviations. This includes design of appropriate SPC charts and APC (automatic process control scheme with either closed or open loop feedback). A comprehensive methodology was previously presented 2 to evaluate the effectiveness of different sampling plans by using the data from a 200 mm advanced logic fab. The effectiveness of a given sampling plan was evaluated by trading off the beta risk (probability of having material at risk) and the alpha risk (probability of having a false alarm). The current paper extends this methodology to the 300 mm domain, discusses potential issues for CD control of 300 mm patterning processes, as well as sampling recommendations for certain conditions. The primary driving force for the 300 mm transition is the anticipated reduction in total production cost per square inch of silicon. The key to achieving this is to increase the productivity of the yielding die and wafers at minimum total cost. It is very important to note that the total cost includes not only the cost of producing the wafers but also the cost of controlling the process for minimizing the material at risk. While reducing the total cost of operations, it is critical to optimize the value of in-line defect and metrology inspection. Otherwise, the cost of increased material-at-risk due to poorly optimized inspection methodology will outweigh the savings from reduced investment in process control. A 300 mm wafer has 2.25 times more area than a 200 mm wafer. If all other parameters are held constant, it results in 2.25 times more die per wafer, with correspondingly more material exposed to process excursions. Along with the 300 mm transition, the semiconductor industry is also transitioning from 248 nm to 193 nm lithography, from aluminum to copper interconnect metals, and from silicon dioxide to low-κ interconnect dielectrics, all driven by ever-shrinking design rules. Although a statistically determined sampling plan is essential in understanding and reducing material-at-risk, in practice, many sampling plans are still determined by historical precedent. Few papers present statistical approaches to determining the optimal sampling plan 1. In the current paper, issues and concerns regarding the importance of CD control in a 300 mm fab are presented. A simulation study is presented, where 300 mm CD variations and excursions are simulated and compared 60

2 to data from a 200 mm advanced logic fab 1. Finally, CD sampling requirements for a 300 mm fab for excursion monitoring are evaluated. We will demonstrate that use of a sampling scheme optimized for 200 mm patterning processes will result in increased material at risk in a 300 mm fab, thus emphasizing the need for characterizing and optimizing the CD sampling plan for 300 mm fabs. CD control for 300 mm A primary requirement for designing an optimal CD control methodology is to characterize and understand baseline spatial CD distributions across the lot, wafer, and field. Below, we outline some anticipated characteristics of a 300 mm intra-wafer CD distribution based on variation signatures observed in 200 mm processes. Stronger radial effects on baseline CD values Cross-wafer CD variations have a variety of sources, from direct causes such as etch-rate spatial non-uniformity, to those less direct, such as incoming film-reflectivity variation. These result in different baseline averages of the exposure fields on the wafer, as was reported in a case study 1. An example of a simple radial crosswafer CD variation is shown in Figure 1, with a typical (200 mm) 9-field intra-wafer sampling plan superimposed on the distribution. If such a variation signature were extended from a radius of 100 mm to 150 mm, the center-to-edge CD variation would be correspondingly amplified, resulting in a wider (and shifted) CD distribution. F i g u re 1. Baseline CD values across the die showing strong radial e ff e c t s. Y/mm X/mm F i g u re 2. The area increase in 8 to 12 inch wafer diameter transition is much higher than that of 6 to 8 inch wafer diameter transition. Figure 2 graphically shows that the increase in the wafer area for the 8 inch to 12 inch transition is about 125 percent whereas the increase in the wafer area in the 6 inch to 8 inch transition is only about 69 percent. Considering the fact that the device speed and performance are strongly influenced by CD, the amplified radial variation observed for 300 mm could cause significant deviations from specification near the wafer edge. Of course, these are the very die that are required to realize the potential benefit of the larger substrates. It is important to characterize the spatial distribution of the baseline field averages by appropriate sampling plan and analysis for 300 mm processes; then, one can devise control and process improvement methodologies to reduce systematic variation signatures, such as the radial example presented here. Higher baseline field-to-field variation: Need for Generalized ANOVA As discussed above for a 200 mm to 300 mm transition, one might expect an increased cross-wafer range of CDs, resulting in increases in both systematic (different field means) and random field-to-field variances. When a traditional nested ANOVA analysis technique is employed, higher systematic field-to-field variation has a greater chance of providing negative numbers for random wafer-to-wafer variation, as was shown in 1. Thus, for reliable estimates of 300 mm variance components, it is necessary to use the Generalized ANOVA presented in 1 for separating the systematic variations from random variation. Impact of wafer level excursion on 300mm A previous paper 1 discussed several CD mean and variance excursion types based on data from a 200 mm wafer fab. Some of those types are re-presented in Figure 3a and 3b under different groups. Observe that the occurrence of the first type affects CD deviations on all the fields on a wafer, either uniformly or with a certain pattern. Some of these examples include wafer 61

3 F i g u re 3a. Diff e rent types of wafer level excursions. wedge excursion and all-fields-down (where the CD values of all the fields on the wafer are far below the lower process control limit). The occurrence of the second type affects CD variation only on single field or on smaller subset of fields on a wafer. Some of these examples include one-feature-up excursion, and one-featuredown excursion in a field (see Figure 3b). Also, note that this type of excursion could occur randomly in any set of fields on the wafer. Excursions in both categories will become major issues in 300 mm fab. More area on 300 mm wafers can cause higher variation than in 200 mm wafers. The impact of these two groups of excursion types on a 300 mm wafer will be different and need to be studied. Consider the case of wafer wedge excursion. There can be higher CD variation on the 300 mm edge fields as compared to 200 mm edge fields. This tells us that the excursions in the first category can cause more parametric yield problems in 300 mm fab than in 200 mm fab. Therefore, it is critical to minimize risk for 300 mm wafers. Impact of field level excursion on 300 mm Because of the increase in the number of fields for a 300 mm wafer (see Figure 1), a given wafer has a greater chance of exposure to field level excursions before detection. Also, several lots may be at risk if there is a significant delay in detecting such an excursion. In a later section, we present the impact of using the 200 mm sampling plan in such scenarios and recommend a new 300 mm sampling plan. To detect field level excursions on 300 mm wafers, it may be important to control CDs at the wafer level or even within the wafer level, suggesting evaluation of lot-level SPC charts. In summary, both baseline characterization and excursion detection should be examined more thoroughly in F i g u re 3b. Diff e rent types of field level excursions. 62

4 a 300 mm fab because of the greater material-at-risk in each wafer. The higher field-to-field variation expected across a 300 mm wafer will necessitate a baseline sampling plan that effectively resolves all significant variation signatures, as well as separates systematic (meanshift) from random variations. A simulation study: Optimal 300 mm sampling plan for excursion detection As discussed in the previous section, it is essential to have optimal sampling plans for both baseline characterization and excursion detection. In this section, we focus on the sampling plan for excursion detection. First, we investigate application of the optimal 200 mm sampling plan for monitoring excursions in a 300 mm patterning process, and show that this direct transfer could cause significant increase in material-at-risk. We then propose and evaluate an improved 300 mm sampling plan that matches material-at-risk levels of the 200 mm sampling plan. Assumptions Data from a 200 mm fab case study data is used for this evaluation 1. As part of this case study, the optimal sampling plan in 200 mm fab was found through KLA-Te n c o r CD Sample Planner software. We denote the optimal 200 mm sampling plan as X wafers per lot, Y fields per wafer, and Z sites per field. It is assumed that the d i e size of a wafer is 1.3 x 1.1cm, and 6 die are in a fie l d. This assumption gives 138 die (23 fields) on a 200 mm wafer, and 366 die (61 fields) on a 300 mm wafer. Baseline statistics and excursion statistics of CD values from the 200 mm fab case study was used. Because of the increase in wafer area, field-level excursion frequency (see the previous section, Excursion Detection and Figure 2) will increase by 2.25 times. However, we assume that excursion frequency in the first category remains same. Then, the mean time to an excursion in 300 mm fab, when expressed in terms of number of wafers or lots, will be much less than the mean time to an excursion in 200 mm fab. For example, suppose an excursion was seen in every 50 lots on the average in a 200 mm fab. Also, suppose that there are two types of wafer-level excursions, wafer wedge and all-up excursions, and that there are two types of field-level excursions, say feature-a-up and feature-b-down excursions. Assume that the average number of occurrences of these excursions is 8, 8, 8, and 8 respectively. Extending this from 200 mm to 300 mm, the expected number of occurrences of these excursions will become 8, 8, 8*2.25=18, and 18 respectively. This will lead to an excursion occurring every 30.8 (=50*32/52) lots on the average in an equivalent 300 mm fab, essentially doubling the excursion frequency Baseline averages and CD shifts during mean and variance excursions were extrapolated from the 200 mm fab data. Extrapolation was done by assuming that the CD values on a wafer follow a smooth radial pattern. The most natural definition of a 200 mm sampling plan in 300 mm fab is to assume that the same measurement tool capacity is used by both of the fabs. To ensure this, the number of fields sampled in a 300 mm fab should be equal to the number of fields sampled in a 200 mm fab multiplied by the ratio between the arrival rate at a measurement tool for the two fabs. In this analysis, the underlying assumption was that the ratio was equal to one. Results 200 mm wafer fab with 200 mm sampling plan and 300 mm fab with the same 200 mm sampling plan F i g u re 4. Using 200 mm sampling scheme for CD control on 300 mm wafers results in higher material-at-risk. Figure 4 presents the results of a 200 mm and 300 mm wafer fab with the 200 mm sampling plan. The vertical axis represents the average fraction of material-at-risk, i.e., the average fraction of lots that will be exposed to undetected process excursions. This is a function of the excursion types, their frequency of occurrence, their magnitude and the effectiveness of the sampling plan and control methodology in detecting process excursions. For this analysis, we assumed that the lot average and lot standard deviation of the CD values were used on SPC charts to monitor the CD variations. The hori- 63

5 zontal axis represents the average fraction of false alarms, which happens when the SPC chart provides a signal while the process is in control. It can be observed from Figure 4 that for a false-alarm fraction of two percent, the fraction of material-at-risk increases by almost 50 percent from using the 200 mm sampling plan in 300 mm fabs. It is clear from this result that the 200 mm sampling plan can lead to very high material-at-risk in the 300 mm fab. Thus, an entirely new sampling plan is required to reduce the material-at-risk in the 300 mm fab. It is important to emphasize that a one percent saving in material-at-risk could result in significant financial returns in a 300 mm fab. For example, assume that a fab has 5,000 wafer starts per week, 200 die per wafer on a 200 mm wafer and an equivalent 450 (=2.25*200) die per wafer on a 300 mm wafer, and $100 selling price per die. Then, one percent material-at-risk has a revenue potential of $1 million a week for the 200 mm wafer, whereas the 300 mm wafer has a revenue potential of $2.25 million a week, which translates into $117 million a year. Assume a very conservative yield benefit estimate of 10 percent, which is the difference between the baseline and excursion yield, and a baseline yield of 50 percent. Saving one percent materialat-risk will result in a net benefit of $2.6 million a year for the 200 mm fab, and $5.85 million a year for the 300 mm fab. Note that the excursion yield is generally much lower than the baseline yield. Also, the selling price for lower performance chips can be much lower. Hence the yield benefit of reducing material-atrisk by one percent can be much higher. This additional dollar saving needs to be weighed against the cost of any increase in capacity of CD measurements. 300 mm fab with 200 mm sampling plan, and a recommended 300 mm sampling plan KLA-Tencor s CD Sample Planner was implemented to determine a sampling plan that would reduce the fraction of materials-at-risk close to that of a 200 mm fab. Since 2.6Y number of fields gave the desired fraction of materials-at-risk, the CD Sample Planner suggested using X wafers, (2.6)Y fields, and Z sites, as a 300 mm sampling plan. Figure 5 displays the results. F i g u re 5. New 300 mm sampling plan with more fields on the wafer reduces the material-at-risk to the level of 200 mm wafer. Conclusions Optimal CD control should be a primary concern for 300 mm fabs. To achieve this, the most appropriate sampling plan and control methodology must be determined using quantitative statistical methods, such as KLA-Tencor s CD Sample Planner. More frequent occurrence of certain excursions, a stronger spatial impact of these excursions, and higher field-to-field variation within a wafer are all expected for 300 mm patterning processes. These concerns will reinforce the necessity of more accurate characterization of baseline and excursion statistics through appropriately selecting the sampling plans and control methodology. The utility of carrying an optimal 200 mm sampling plan into the 300 mm fab was evaluated under certain conditions. It was shown that there could be almost 50 percent increase in the fraction of material-at-risk when the fraction of false alarm is held fixed at two percent, by simply implementing the 200 mm sampling plan for a 300 mm fab. Considering the more severe effects of certain types of excursions in 300 mm wafers, this 50 percent increase may cause significant yield loss. Clearly, a careful examination of 300 mm sampling plans is warranted to ensure realization of all the benefit of the larger wafer size. References: 1. R. Elliott, R. Nurani, S. Lee, L. Ortiz, M. Preil, G. Shanthikumar, T. Riley, and G. Goodwin, Sampling plan optimization for detection of lithography and etch CD p rocess excursions, In Proceedings of SPIE Metro l o g y, Inspection, and Process Control for Microlithography XIV, v o l (2000) pages B. Charles et. al., Current state of 300 mm lithography in a pilot line environment, SPIE conference on Pro c e s s, Equipment, Materials and Contro l, vol. 3882, A. J. Maltabes et. al., Integrated Metrology: The next logical step for increasing fab pro d u c t i v i t y. 64

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Improved scanner matching using Scanner Fleet Manager (SFM)

Improved scanner matching using Scanner Fleet Manager (SFM) Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS

STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS STATISTICAL DESIGN AND YIELD ENHANCEMENT OF LOW VOLTAGE CMOS ANALOG VLSI CIRCUITS Istanbul Technical University Electronics and Communications Engineering Department Tuna B. Tarim Prof. Dr. Hakan Kuntman

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

ABSTRACT (100 WORDS) 1. INTRODUCTION

ABSTRACT (100 WORDS) 1. INTRODUCTION Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone

More information

Statistical Process Control and Computer Integrated Manufacturing. The Equipment Controller

Statistical Process Control and Computer Integrated Manufacturing. The Equipment Controller Statistical Process Control and Computer Integrated Manufacturing Run to Run Control, Real-Time SPC, Computer Integrated Manufacturing. 1 The Equipment Controller Today, the operation of individual pieces

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

1.Discuss the frequency domain techniques of image enhancement in detail.

1.Discuss the frequency domain techniques of image enhancement in detail. 1.Discuss the frequency domain techniques of image enhancement in detail. Enhancement In Frequency Domain: The frequency domain methods of image enhancement are based on convolution theorem. This is represented

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Production Test of Process Control Monitors (PCMs) with Pyramid Probe Cards

Production Test of Process Control Monitors (PCMs) with Pyramid Probe Cards Production Test of Process Control Monitors (PCMs) with Pyramid Probe Cards Ken Smith, Cascade Microtech Bill Knauer, Keithley Instruments Dr. Jerry Broz, Jason Aronoff, Texas Instruments Goal of Presentation

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Laboratory 1: Uncertainty Analysis

Laboratory 1: Uncertainty Analysis University of Alabama Department of Physics and Astronomy PH101 / LeClair May 26, 2014 Laboratory 1: Uncertainty Analysis Hypothesis: A statistical analysis including both mean and standard deviation can

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Introduction to Statistical Process Control. Managing Variation over Time

Introduction to Statistical Process Control. Managing Variation over Time EE9H F3 Introduction to Statistical Process Control The assignable cause. The Control Chart. Statistical basis of the control chart. Control limits, false and true alarms and the operating characteristic

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Issue 89 November 2016

Issue 89 November 2016 Voltage Contrast Part 1 By Christopher Henderson In this presentation, we discuss voltage contrast, one of a number of techniques that use scanning electron microscopy to aid in fault isolation. Voltage

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S Inspection F E A T U R E S Wafer Inspection Technology Challenges for ULSI Manufacturing Part II by Stan Stokowski, Ph.D., Chief Scientist; Mehdi Vaez-Irvani, Ph.D., Principal Research Scientist Continued

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Six Sigma Quality Concepts & Cases- Volume I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Chapter 7 Measurement System Analysis Gage Repeatability & Reproducibility (Gage R&R)

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning Semicon West 2016 Acknowledgements o Stephen Tobin o Samsung Austin Semiconductor, Machine Learning o Jason Malik o Samsung Austin Semiconductor, Metrology o Dr. Dragan Djurdjanovic o University of Texas,

More information

Amorphous Selenium Direct Radiography for Industrial Imaging

Amorphous Selenium Direct Radiography for Industrial Imaging DGZfP Proceedings BB 67-CD Paper 22 Computerized Tomography for Industrial Applications and Image Processing in Radiology March 15-17, 1999, Berlin, Germany Amorphous Selenium Direct Radiography for Industrial

More information

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract Abstract This study explores variation in the dimensional parameters of tire tread extrusions. The methodology was based on measurement of width and thickness values of treads at two points in the manufacturing

More information

CHAPTER 6 SIGNAL PROCESSING TECHNIQUES TO IMPROVE PRECISION OF SPECTRAL FIT ALGORITHM

CHAPTER 6 SIGNAL PROCESSING TECHNIQUES TO IMPROVE PRECISION OF SPECTRAL FIT ALGORITHM CHAPTER 6 SIGNAL PROCESSING TECHNIQUES TO IMPROVE PRECISION OF SPECTRAL FIT ALGORITHM After developing the Spectral Fit algorithm, many different signal processing techniques were investigated with the

More information

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS

I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Six Sigma Quality Concepts & Cases- Volume I STATISTICAL TOOLS IN SIX SIGMA DMAIC PROCESS WITH MINITAB APPLICATIONS Chapter 7 Measurement System Analysis Gage Repeatability & Reproducibility (Gage R&R)

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

Economic Design of Control Chart Using Differential Evolution

Economic Design of Control Chart Using Differential Evolution Economic Design of Control Chart Using Differential Evolution Rukmini V. Kasarapu 1, Vijaya Babu Vommi 2 1 Assistant Professor, Department of Mechanical Engineering, Anil Neerukonda Institute of Technology

More information

Pixel Response Effects on CCD Camera Gain Calibration

Pixel Response Effects on CCD Camera Gain Calibration 1 of 7 1/21/2014 3:03 PM HO M E P R O D UC T S B R IE F S T E C H NO T E S S UP P O RT P UR C HA S E NE W S W E B T O O L S INF O C O NTA C T Pixel Response Effects on CCD Camera Gain Calibration Copyright

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE. A. Jalar, S.A. Radzi and M.A.A. Hamid

EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE. A. Jalar, S.A. Radzi and M.A.A. Hamid Solid State Science and Technology, Vol. 16, No 2 (2008) 65-71 EFFECTS OF USG CURRENT AND BONDING LOAD ON BONDING FORMATION IN QFN STACKED DIE PACKAGE A. Jalar, S.A. Radzi and M.A.A. Hamid School of Applied

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

StarBright XLT Optical Coatings

StarBright XLT Optical Coatings StarBright XLT Optical Coatings StarBright XLT is Celestron s revolutionary optical coating system that outperforms any other coating in the commercial telescope market. Our most popular Schmidt-Cassegrain

More information

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016

IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016 IEOR 130 Methods of Manufacturing Improvement Fall, 2016 Prof. Leachman Homework Problems #6 Due Tuesday Oct. 11, 2016 1. Data in a factory has been collected on the performance of five types of machines,

More information

26 June 2013 copyright 2013 G450C

26 June 2013 copyright 2013 G450C 450 mm Equipment Demonstrations at G450C Statistics Used During Tests of the Semiconductor Industry s Latest Fab Equipment Transition Lorn Christal, G450C Program Manager Demonstration Test Execution 26

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications 1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications Doug Anberg, Mitch Eguchi, Takahiro Momobayashi Ultratech Stepper, Inc. San Jose, California Takeshi Wakabayashi,

More information

3. Data and sampling. Plan for today

3. Data and sampling. Plan for today 3. Data and sampling Business Statistics Plan for today Reminders and introduction Data: qualitative and quantitative Quantitative data: discrete and continuous Qualitative data discussion Samples and

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

PRACTICAL ASPECTS OF ACOUSTIC EMISSION SOURCE LOCATION BY A WAVELET TRANSFORM

PRACTICAL ASPECTS OF ACOUSTIC EMISSION SOURCE LOCATION BY A WAVELET TRANSFORM PRACTICAL ASPECTS OF ACOUSTIC EMISSION SOURCE LOCATION BY A WAVELET TRANSFORM Abstract M. A. HAMSTAD 1,2, K. S. DOWNS 3 and A. O GALLAGHER 1 1 National Institute of Standards and Technology, Materials

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Wafer Backside Inspection Applications In Lithography

Wafer Backside Inspection Applications In Lithography Wafer Backside Inspection Applications In Lithography Kay Lederer, Manhias Scholze, Infineon Technologies 300, Dresden Ulrich Strohbach, Infineon 200. Dresden Andreas Wocko, Thomas Renter. Angela Schcenauer,

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Dynamic Data-Driven Adaptive Sampling and Monitoring of Big Spatial-Temporal Data Streams for Real-Time Solar Flare Detection

Dynamic Data-Driven Adaptive Sampling and Monitoring of Big Spatial-Temporal Data Streams for Real-Time Solar Flare Detection Dynamic Data-Driven Adaptive Sampling and Monitoring of Big Spatial-Temporal Data Streams for Real-Time Solar Flare Detection Dr. Kaibo Liu Department of Industrial and Systems Engineering University of

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Value Creation of AI in the Manufacturing Industry

Value Creation of AI in the Manufacturing Industry Value Creation of AI in the Manufacturing Industry Janet George Fellow/Chief Data Scientist Western Digital Corporation September 28 th, 2016 2016 Western Digital Corporation or its affiliates. All rights

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Wafer-Edge Challenges

Wafer-Edge Challenges Wafer-Edge Challenges SEMI STEP Wafer Edge Profile SEMICON/West 2006 Tetsuo Fukuda SEMI Japan (Fujitsu) Japan Advanced Wafer Geometry Task Force SEMI Japan Abstract Issues on edge profile are discussed

More information

IEOR 130 Methods of Manufacturing Improvement Fall, 2018, Prof. Leachman Homework Assignment 8, Due Tuesday Nov. 13

IEOR 130 Methods of Manufacturing Improvement Fall, 2018, Prof. Leachman Homework Assignment 8, Due Tuesday Nov. 13 IEOR 130 Methods of Manufacturing Improvement Fall, 2018, Prof. Leachman Homework Assignment 8, Due Tuesday Nov. 13 1. Consider a factory operating at a steady production rate. Suppose the target WIP level

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Electronic Noise Effects on Fundamental Lamb-Mode Acoustic Emission Signal Arrival Times Determined Using Wavelet Transform Results

Electronic Noise Effects on Fundamental Lamb-Mode Acoustic Emission Signal Arrival Times Determined Using Wavelet Transform Results DGZfP-Proceedings BB 9-CD Lecture 62 EWGAE 24 Electronic Noise Effects on Fundamental Lamb-Mode Acoustic Emission Signal Arrival Times Determined Using Wavelet Transform Results Marvin A. Hamstad University

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Yield Learning of a GaAs-Based High-Throw-Count Switch for Handset Applications. Tertius Rivers, Corey Nevers, Chi-hing Choi, Hui Liu

Yield Learning of a GaAs-Based High-Throw-Count Switch for Handset Applications. Tertius Rivers, Corey Nevers, Chi-hing Choi, Hui Liu Yield Learning of a GaAs-Based High-Throw-Count Switch for Handset Applications Keywords: phemt, AOI, FIB, Line partioning, defects Tertius Rivers, Corey Nevers, Chi-hing Choi, Hui Liu TriQuint Semiconductor

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Advanced Engineering Statistics. Jay Liu Dept. Chemical Engineering PKNU

Advanced Engineering Statistics. Jay Liu Dept. Chemical Engineering PKNU Advanced Engineering Statistics Jay Liu Dept. Chemical Engineering PKNU Statistical Process Control (A.K.A Process Monitoring) What we will cover Reading: Textbook Ch.? ~? 2012-06-27 Adv. Eng. Stat., Jay

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information