ABSTRACT (100 WORDS) 1. INTRODUCTION

Size: px
Start display at page:

Download "ABSTRACT (100 WORDS) 1. INTRODUCTION"

Transcription

1 Overlay target selection for 20-nm process on A500 LCM Vidya Ramanathan b, Lokesh Subramany a, Tal Itzkovich c, Karsten Gutjhar a, Patrick Snow a, Chanseob Cho a Lipkong ap b a GLOBALFOUNDRIES 400 Stone Break Extension, Malta, N 12020; b KLA-Tencor Corp, 1 Technology Drive, Milpitas, CA 95035; c KLA-Tencor Israel, 1 Halavyan St. Migdal Ha emek ABSTRACT (100 WORDS) Persistently shrinking design rules and increasing process complexity require tight overlay control thereby making it imperative to choose the most suitable overlay measurement technique and complementary target design. In this paper we describe an assessment of various target designs from FEOL to BEOL on 20-nm process. Both scatterometry and imaging based methodology were reviewed for several key layers on A500LCM tool, which enables the use of both technologies. Different sets of targets were carefully designed and printed, taking into consideration the process and optical properties of each layer. The optimal overlay target for a given layer was chosen based on its measurement performance. Keywords: Overlay, Target design, Accuracy, Litho Metrology, TMU, CDSEM overlay, SCOL, 1. INTRODUCTION Persistently shrinking design rules and increasing process complexity require tight overlay (OVL) control thereby making it imperative to choose the most suitable overlay measurement technique and complementary target design. ITRS specifies the overlay metrology budget for the 14nm node as 3.6 nm [1]. Advancements in overlay metrology systems such as the KLA-Tencor s, A500LCM tool enable the user to choose between image based and scatterometry based targets. The A500LCM is an all-inclusive metrology solution combining both imaging and scatterometry to enable the flexibility needed for 1 node overlay metrology. The technology behind the tool allows the user to choose judiciously between image based (IBO) or scatterometry based overlay technology (SCOL), based on the resist profile of the litho-layer and its underlying stack properties, and overlay budget requirements for that layer. These 2 independent optical overlay methods may exhibit different performance for each layer. This arises primarily due to the fundamental technical differences between the two methodologies and the design of the target. In this paper we explore these two methodologies for several layers with different stacks and thereby optical properties. In this paper we describe an assessment of various target designs from FEOL to BEOL on 20-nm process. Both scatterometry and imaging measurement techniques were reviewed for several key layers. Multiple sets of SCOL and IBO targets were carefully designed and printed while taking into consideration the process and optical properties of each layer. IBO targets primarily included µm (Advanced Imaging Metrology). Scatterometry targets include 4-cell SCOL targets, with a unit cell size of 10 µm. Once targets were printed, performance comparison for each layer was done between the different target types: SCOL 4 cell targets, measured by scatterometry, and and BLOSSOM targets measured by the imaging technique. More details about the SCOL technique can be found in reference 2. The optimal overlay target for a given layer was determined based on its performance on the tool and correlation to reference Blossom or large imaging (24 24 µm) targets, which were used as overlay targets in production. Where applicable, a comparison to CDSEM measured overlay was made with both (16 16 µm) and SCOL targets. Target sensitivity to overlay was explored by exposing certain layers with a DOE rotation or expansion offset. For some layers, scaling of targets to etch process was studied as well. Correlations to IBO or scatterometry based overlay were made with CDSEM reference after development (ADI) and after etch (AEI). In the next section we outline the details of the experimental results of evaluating (16 16 µm) and SCOL target performances on 8 different layers. Section # 3 details the experimental results of CDSEM correlation after the etch process on a BEOL, double-patterning layer. Metrology, Inspection, and Process Control for Microlithography I, edited by Jason P. Cain, Martha I. Sanchez, Proc. of SPIE Vol. 9424, SPIE CCC code: /15/$18 doi: / Proc. of SPIE Vol

2 2. TARGET EVALUATION A total of 8 layers from a 20-nm process, which spanned from the FEOL to the BEOL were studied for this project. For each target the optimal measurement settings was selected based on Total Measurement Uncertainty (TMU) performance and OVL performance (raw OVL values and modeled linear OVL residuals). TMU includes the TIS 3Sigma and precision calculated from 10 dynamic iterations. Matching was excluded from the calculations as all measurements were performed on a single A500 LCM tool. The TMU is calculated as follows - 3 Production sampling of 13 sites per field and a total of 13 fields was used to calculate TMU for each layer. Figure (1) describes the TIS Mean, TIS 3S, Precision and TMU for SCOL 1 st order targets. The bold horizontal bars in the plot indicate the pre-determined performance spec on the tool. (a) 115 Mean (nm) (b) (nn) 7,.J11,_, i s s 7 litkiti (c) Precision (nm) (d) 'MU (nm),1b, Fig.1. Performance specification for and axes for SCOL 1 st order targets on 7 different layers - (a) TIS Mean, (b) TIS 3S, (c) Precision and (d) TMU. The bars in the plots indicate the specified performance criteria. Figure (1) indicates that out of the 8 layers evaluated, SCOL 1 st order targets perform well within the specified performance criteria. In figure (2) we plot TIS mean, 3Sigma, precision and TMU values for µm targets on these layers. The bars in the plot indicate the performance criteria for the targets on the A500 tool. From the plots it is evident that for most layers, the targets performance is well under the defined success criteria. For layers 2 and 8 the respective and SCOL target performance exceeded the defined limit. As we only had a single wafer per layer we were not able to determine if the reason for low performance was a target design issue or a wafer issue. Wherever applicable comparison has also been made to a reference target that is currently used in production (POR). Raw overlay values for the available targets on these layers were modeled in KT Analyzer using a linear model that contained 6 wafer terms and 4 field terms. The scope of this comparison is to analyze the behavior of the residuals and the correctable terms for each target type and to determine the optimum target for each layer. Figure 3 (a) indicates the raw overlay values (mean +3S) for each of the available target on these layers and figure 3 (b) is the corresponding linear residuals (mean +3S). Figure 3 indicates that the raw overlay and linear residual values agree very well with both and SCOL targets for most layers and also compare well with the existing POR targets which are currently the large marks (24 24 µm in size) and the BLO marks. For layer # 2, while SCOL marks measure lower overlay values, the residuals for both target types are very similar with residual values slightly higher for SCOL marks along on the -axis. For layer # 8, 16 Proc. of SPIE Vol

3 measures higher overlay values and also slightly higher residuals. Given the option, SCOL marks would be the most (a) TIS Mean (nm) 3 4 SI 7 a r. Precision (m). (c) (d) TI5 35 (nm) (b) rb. ItILr S 6 7 B TMU (nm) suitable target type for this layer s 6 e Fig.2. Performance specification for and axes for µm targets on 7 different layers - (a) TIS Mean, (b) TIS 3S, (c) Precision and (d) TMU. The bars in the plots indicate the specified performance criteria. Raw Overlay (nm) - (b) uuuuuuriru.. ono m7r BLO - SCOL SCOL I SCOL SCOL SCOL SCOL SCOL 1 BLO - BLO - SCOL POR POR 1616 POR POR & Residuals (nm) r rrrmr mr- p u BIO- SCOL POR SCOL 1616 SCOL 1616 SCOL SCOL ISCOL POR SCOL 1 BLO - BLO - SCOL POR 1616 POR & Fig.3. (a) Raw overlay values (mean +3S) for each target and (b) corresponding linear residuals (mean +3S) calculated using KT-Analyzer, on layers 1 to 8. Proc. of SPIE Vol

4 3. CDSEM CORRELATION In order to ascertain the accuracy of these newly designed target types, we were able to compare one of the BEOL layers to a reference CDSEM target upon etching the layer [3]. This particular layer did not have any SCOL marks on them but only the targets. CDSEM targets were scanned using 2 different scan directions. Very good correlation is observed for and CDSEM marks after separating the targets based on location and scan direction. Figure 4 (a) indicates an R 2 correlation of value of 0.99 for the -axis and between 0.94 for the -axis. y= x R'=0.99 OVL - (nm) 15 - y = 615x R'=0.94 OVL-(nm) U é _ a U - (b) Fig.4. Correlation of CDSEM vs. target raw overlay values for one BEOL layer (a) -axis and (b) -axis. As per figure 5 a, raw overlay values (mean +3S) and residuals values agree very well between the and CDSEM targets on this layer. On modelling the raw overlay values with a linear ploy nominal in KT-Analyzer, a comparison of the wafer term correctables yields excellent matching between the and the CDSEM marks (figure 5b), indicating that the mark is an accurate choice for this particular layer. (a) OVL and Residual (M +3S) nm OVL (M+3S) x OVL (M+3S) RES RES CDSEM (b) CDSEM Correctables Wafer Terms Tran (nm) N_Ortho (nm) Fig.5. Comparing correctables for and CDSEM marks for one BEOL layer after the etch process. Proc. of SPIE Vol

5 (a) OVL (M+3S) nm (b) Residuals (M+3S) nm r 1 I CDSEM 1616 SCOL 4. PROGRAMED OVERLA OFFSETS One of the ways to evaluate overlay targets for a certain layer is to observe their performance with a pre-programmed overlay offset after exposure at the litho step (ADI after develop inspection) and upon etch (AEI after etch inspection). We designed an experiment (DOE), wherein we chose one of the BEOL, double patterning layer that had a variety of different targets, 16 16, SCOL and also CDSEM overlay targets. The wafer was exposed with a programmed maximum rotation offset of about 30 nm. The experiment was conducted in 2 phases, in the first phase, overlay data was measured and analyzed at the ADI step. Measurements and analysis were repeated for the 2 nd phase at the AEI step. 4.1 Overlay target performance at ADI Full wafer map data was collected on all the 3 targets types ( and SCOL targets were measured on the A500 LCM). Each target had a total of 13 points per field. In figure 6a we plot the normalized raw overlay values (mean + 3sigma) as measured by these targets and figure 6b provides the corresponding normalized residuals when the raw data is modelled by a linear polynomial in KT Analyzer. We observe that while the CDSEM target measures just about 30% of the raw overlay values as compared to the other targets, and SCOL marks are in agreement over the raw data with values that correspond well to the 30-nm programmed rotation offset. Between the and SCOL marks, the SCOL targets measure about 1-2 nm lower residuals in the and axis respectively. Residuals for CDSEM targets are lower still (about 40% lower than marks) but this is a consequence of the much lower overlay values reported by these targets t CDSEM 1616 SCOL x v Fig.6. (a) Normalized raw overlay values and (b) normalized residuals for CDSEM, and SCOL marks on a DOE wafer exposed with a programmed rotation offset at the litho. We also observed that the rotation correctable for the wafer terms as reported by the CDSEM target is much lower than the rotation correctable for and SCOL targets. Based on the analysis of the phase 1 of the experiment, it is safe to conclude that CDSEM target is unreliable for this layer and does not serve as a reference metrology at litho. Also the most suitable target for this layer would be the SCOL mark. 4.2 Overlay target performance at AEI The same set of measurements on this wafer were repeated after the etch process. Upon etch, the CDSEM measured overlay values compare much better to the and the SCOL targets, as evident in figure 7 (a). In terms of residual values the marks outperform both CDESM and SCOL marks (figure 7b). Proc. of SPIE Vol

6 1.2 (a) OVL (M+3S) nm (b) Residuals (M +35) nm i II CDSEM SCOL CDSEM SCOL Fig.7. (a) Normalized raw overlay values and (b) normalized residuals for CDSEM, and SCOL marks on a DOE wafer exposed with a programmed rotation offset after the etch process. Overlay bias between ADI and AEI occurs due to the distribution of the stress to thin film layers, micro loading effect at the pattern etching and so on [3]. The KT Analyzer lets us compare this bias in the ADI and AEI overlay values for each target. The bias values are simply a process correction, where the after etch data (AEI) is subtracted from its corresponding ADI values. In figure 8, we represent the bias signature for each of these targets and in figure 9 we quantify the bias for each target type. There is no significant scaling of overlay values for the and SCOL marks, whereas a large rotation signature is observed for CDSEM marks. This large bias for CDSEM target is the result of the underestimation of the overlay values at the litho step. The mean + 3sigma of the bias values for and SCOL marks is about 20% and 40% of the bias value of the CDSEM target, respectively. This indicates that both and SCOL marks are suitable overlay marks for this layer. Due to the small overlay bias between ADI and AEI, the marks can be considered as dedicated overlay target for this layer at the AEI step. One of the conclusions that can be drawn from this experiment is that CDSEM measured overlay cannot be used as a reliable reference metrology at the ADI step. CDSEM cm SCOL Fig.8. Process corrected overlay bias signature (ADI AEI) for CDSEM, and SCOL targets. In figure 10 represents the correlation between overlay measured using targets with the values obtained using CDSEM. We observe a reasonable correlation with an R 2 of 1 for the -axis and 8 for the -axis, after excluding the edge dies. One of our hypotheses for the low R 2 values on this layer, compared to the layer described in section 3, could be the large rotation offset on the wafer that could induce some amount of asymmetry to the overlay targets. The extreme value of the rotation offset occurs on the edges of the wafer which are the outliers in the correlation plot (figure 10). Proc. of SPIE Vol

7 Bias Signature ADI - AEI (M +3S) nm 1.2 i CDSEM SCOL Fig.9. Quantifying the process corrected overlay bias signature (ADI AEI) for CDSEM, and SCOL targets, mean + 3sigma values for the wafer maps in figure 8. Axis Axis Z y=1.0038x R.= 165 y =1.0261x R'= î Fig.10. Correlation of CDSEM vs. target raw overlay values for BEOL layer; (a) -axis and (b) -axis for DOE wafer with ~ 30 nm rotation offset 5. CONCLUSION In this work we demonstrated the evaluation of overlay targets that use several different technologies for a 20-nm process with layers that extended from the FEOL to BEOL. The most suitable overlay target for each layer can be selected based on the measurement uncertainty values for each target type. We also demonstrated target accuracy based on comparison to CDSEM reference metrology at the AEI step. Our DOE experiment on a BEOL double patterning layer indicates that target selection can also be based on comparing target performance at the ADI and the AEI steps. 6. ACKNOWLEDGMENTS The authors would like to thank Christian Sparka, Bill Pierson, from KLA-Tencor for extending KT-Analyzer to support overlay result files from CDSEM tools and iaoxiao Zhang, from Globalfoundries, for her support in providing the CDSEM overlay measurements for this project. REFERENCES [1] International Technology Roadmap for semiconductors ( [2] Philippe Leray ; Shaunee Cheng ; Daniel Kandel ; Michael Adel ; Anat Marchelli; Irina Vakshtein ; Mauro Vasconi and Bartlomiej Salski "Diffraction based overlay metrology: accuracy and performance on front end stack", Proc. SPIE 6922, Metrology, Inspection, and Process Control for Microlithography II, 69220O (March 22, 2008); doi: / ; [3] Osamu Inoue, Takeshi Kato, utaka Okagawa, Hiroki Kawada, In-die Overlay Metrology by using CD-SEM, Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography VII, (SPIE 2013); doi: / Proc. of SPIE Vol

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Process resilient overlay target designs for advanced memory manufacture

Process resilient overlay target designs for advanced memory manufacture Process resilient overlay target designs for advanced memory manufacture Joonseuk Lee b, Mirim Jung b, Honggoo Lee b, Youngsik Kim b, Sangjun Han b, Michael E. Adel c, Tal Itzkovich c, Vladimir Levinski

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Improved scanner matching using Scanner Fleet Manager (SFM)

Improved scanner matching using Scanner Fleet Manager (SFM) Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar Mark A. Koten, Ph.D. Senior Research Scientist Electron Optics Group McCrone Associates Why check your SEM image calibration?

More information

New methodology for through silicon via array macroinspection

New methodology for through silicon via array macroinspection New methodology for through silicon via array macroinspection Yoshihiko Fujimori Takashi Tsuto Yuji Kudo Takeshi Inoue Kyoichi Suwa Kazuya Okamoto J. Micro/Nanolith. MEMS MOEMS 12(1), 013013 (Jan Mar 2013)

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

OVERLAY PERFORMANCE IN ADVANCED PROCESSES

OVERLAY PERFORMANCE IN ADVANCED PROCESSES OVERLA PERFORMANCE IN ADVANCED PROCESSES F. Bornebroek, J. Burghoorn, J.S. Greeneich, H.J. Mergens, D. Satriasaputra, G. Simons, S. Stalnaker, B. Koek ASML, De Run 111, 553 LA Veldhoven, The Netherlands

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Overlay Metrology Results on Leading Edge Cu Processes

Overlay Metrology Results on Leading Edge Cu Processes Overlay Metrology Results on Leading Edge Cu Processes Vincent Vachellerie a1, Délia Ristoiu a2, Alain Deleporte a3, Pierre-Olivier Sassoulas a4, Philippe Spinelli a5, Marc Poulingue b6,pascal Fabre b7

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Micro Photonics, Berlin

Micro Photonics, Berlin Imprint Nanopatterning Solution Platform for IndustRial assessment NANO IMPRINT LITHOGRAPHY (NIL) FOR PHOTONICS APPLICATIONS Hubert TEYSSEDRE Stefan LANDIS Sandra BOS Laurent PAIN Yannick LE TIEC LETI,

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

Design and characterization of 1.1 micron pixel image sensor with high near infrared quantum efficiency

Design and characterization of 1.1 micron pixel image sensor with high near infrared quantum efficiency Design and characterization of 1.1 micron pixel image sensor with high near infrared quantum efficiency Zach M. Beiley Andras Pattantyus-Abraham Erin Hanelt Bo Chen Andrey Kuznetsov Naveen Kolli Edward

More information

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative 5 th Annual ebeam Initiative Luncheon SPIE February 26, 2013 Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative ebeam Writes All Chips The ebeam Initiative: Is an educational platform

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Systematic experimental study on stitching techniques of CMOS image sensors

Systematic experimental study on stitching techniques of CMOS image sensors LETTER IEICE Electronics Express, Vol.13, No.15, 1 11 Systematic experimental study on stitching techniques of CMOS image sensors Jun Zhu 1, Donghua Liu 1, Wei Zhang 1, Qing Wang 2a), Wenliang Li 2, Lijun

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches

High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches High Brightness kw QCW Diode Laser Stacks with Ultra-low Pitches David Schleuning *, Rajiv Pathak, Calvin Luong, Eli Weiss, and Tom Hasenberg * Coherent Inc., 51 Patrick Henry Drive, Santa Clara, CA 9554

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

Specifying and Measuring Nanometer Surface Properties. Alson E. Hatheway

Specifying and Measuring Nanometer Surface Properties. Alson E. Hatheway Specifying and Measuring Nanometer Surface Properties a seminar prepared for the American Society of Mechanical Engineers 93663a.p65(1 Alson E. Hatheway Alson E. Hatheway Inc. 787 West Woodbury Road Unit

More information

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Automated asphere centration testing with AspheroCheck UP F. Hahne, P. Langehanenberg F. Hahne, P. Langehanenberg, "Automated asphere

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG C. Schnitzler a, S. Hambuecker a, O. Ruebenach a, V. Sinhoff a, G. Steckman b, L. West b, C. Wessling c, D. Hoffmann

More information

Microvasculature on a chip: study of the Endothelial Surface Layer and the flow structure of Red Blood Cells

Microvasculature on a chip: study of the Endothelial Surface Layer and the flow structure of Red Blood Cells Supplementary Information Microvasculature on a chip: study of the Endothelial Surface Layer and the flow structure of Red Blood Cells Daria Tsvirkun 1,2,5, Alexei Grichine 3,4, Alain Duperray 3,4, Chaouqi

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein a) Department of Physics, University at Albany, State University of

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information