State-of-The-Art Dielectric Etch Technology

Size: px
Start display at page:

Download "State-of-The-Art Dielectric Etch Technology"

Transcription

1 State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM

2 Outline Dielectric Etch Challenges for State-of-The-Art Devices Control Parameters of CCP Basic Components of Dielectric Etch Chamber Physical Effects of DC Superposition and Etch Applications DC Superimposed CCP with RF Pulsing Potential Solutions toward Plasma Induced Material Damage Self-limiting Chemical Dry Etch Summary 2

3 Dielectric Etch Challenges for State-of-The-Art Devices TM

4 FEOL Dielectric Etch Challenges FinFET Sidewall Transfer LWR/LER Channel CD Trim Sel. to Mask Epitaxial growth for Source/Drain Iso/Dense CD Bias Recess Etch Recess Control Low Cristal Damage FinFET requires minimum LWR/LER and ultra low Si crystal damage with reproducible etch amount for dielectric etch. 4

5 Contact Etch Challenges guard ring CD Shrink short line/trench circle oval dual stress liner nickel silicide Sel. to CESL Sel. to NiSi & SiO2 Striation vs. GR Residue Defect Uniformity As scaling down CDs, RIE needs to compensate the narrow lithography margin, and also needs to deal with new materials and new device structures. 5

6 BEOL Dielectric Etch Challenges Tri-layer/resist scheme challenges Low-k damage Common challenges Defect Metal hard mask scheme challenges Sel. to Ti/TiN Striation Trench Depth, Uniformity Metal sputtering Reproducibility Sel. to ESL Trench surface roughness Cu (alloy) damage Sel. to Cu (alloy) Cu (alloy) damage Etch control parameters changes, depending on the dual damascene scheme. VFTL (Tri-layer resist scheme): low-k damage, striation, trench depth TFVL (Metal hard mask scheme): sel. to MHM, reproducibility, trench depth 6

7 HAR Dielectric Etch Challenges Sel. to Mask Distortion/Twisting Contact area variation Bowing Leakage between capacitors Sel. to ESL Bottom CD Etch Rate Uniformity Ref.: M. Wang (M. Kushner), AVS 2008 As scaling down CDs, new plasma control techniques need to be implemented into high aspect ratio dielectric etch. 7

8 Control Parameters of CCP TM

9 Basic Components of Dielectric Etch Chamber Multiple zone gas supply for radical distribution control Source RF power Option: Frequency selection Wafer edge ring for electron density uniformity ESC for wafer clamping Source RF power Option: Frequency selection Bias RF power Option: Frequency selection, single or dual 9

10 DC Superimposed CCP Negative DC Voltage Plasma generation Source RF Source RF Bias RF Bias RF Ion energy control Negative DC Potential control Negative DC voltage can control potential difference between the upper electrode and plasma. Superimposed DC voltage controls ion bombardments at the upper electrode, which change F* density and generate ballistic electrons. Superimposed DC voltage is another plasma process parameter. 10

11 Significance of Voltage Control of Upper Electrode Effect of interaction between Si-electrode and fluorocarbon plasma Negative DC Voltage Source RF Bias RF F, Si, CF, CF2, and CF3 radical density change as a function of Top Vdc applied to UEL. 98 GEC & ICRP: Sekine, et al. Potential difference between the upper electrode and plasma varies F/CF x radical ratio, which determines selectivity and etch profile. It is important to control potential between plasma and upper electrode for dielectric etch. 11

12 Mechanism of The Ballistic Electron s Generation Wafer Superimposed Negative DC UEL Collide Acc. Emitted Secondary e - Positive ions Acc. Ballistic electron beam Positive ions are accelerated towards the upper electrode by superimposed negative DC, and collides with the upper electrode. As a result, secondary electrons are emitted from the surface, and are accelerated in the sheath on the upper electrode. Consequently, ballistic electron beam is generated. 12

13 Ballistic Electron Effect nm Resist Cross-sectional SEM images of the 193 nm resist blanket wafers processed with various DC voltages Without DC DC = V DC = V DC = V 0 nm (0 nm) 22 nm (18 nm) 83 nm (61 nm) 173 nm (119 nm) Modified layer thickness Modified layer (nm) thickness [nm] Modified layer Deposition subtraction CF4, 100 mt, 5 x cm 3, Vpp = 70 V, 60 sec. Modified layer becomes thicker as DC voltage increases. Increase in total film thickness indicates polymer deposition. Thus, modified layer should consist of polymer and actual resist modification. Applied DC voltage Voltage [V] (V) 13

14 Verification of e-beam Effect Suspended electron ratio (%) Calculated suspended electron ratio in the bulk resist with various accelerated voltage 停止した電子の割合 (%) V 1000V 1500V Energy(keV) 0.5keV 1keV 1.5keV 2keV 電子が停止した深さ (nm) Depth of suspended electron (nm) Modified layer thickness of the experiment (nm) Correlation between modified layer thickness and the e - suspended depth in the bulk resist y = x R 2 = e - suspended depth in the bulk resist calculated by MC simulation (nm) The actual modified layer, subtracting polymer deposition thickness, agreed with the Monte-Carlo simulation results. 14

15 Ballistic Electron Effect 2 HAR Dielectric For DRAM hp 3x and beyond, high aspect ratio etching > 40:1 is required. HAR etching without distortion and twisting is the most difficult challenge. Ref: M.Wang, M.Kushner et al. 55 th AVS (2008) secondary electron e ESC e - + DC RF Ballistic electrons contribute to neutralizing positively charged dielectric surface, and prevent etch profile from twisting. 15

16 Motivation of RF Pulsing for DC Superimposed CCP V bias = 0 V V bias = 1000 V The population of ballistic electron onto wafer decreases with high bias power. 16

17 Potential Solutions towards Plasma Induced Material Damage Self-limiting Chemical Dry Etch TM

18 Performance of Self-limiting Etch 25.0 Etching (nm) mT 10mT Etching time (min) Blanket Th-Ox Process recipe can control the maximum etch amount. 18

19 Summary Control Parameters of CCP Basic Components of Dielectric Etch Chamber source frequency, bias frequency, dual bias Physical Effects of DC Superposition and Etch Applications resist hardening, high aspect ratio dielectric etch, BEOL dielectric etch with metal hard mask DC Superimposed CCP with RF Pulsing enhancement of dumping current Potential Solutions towards Plasma Induced Material Damage Self-limiting Chemical Dry Etch no silicon crystal damage with precise etch amount control 19

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

High energy electron fluxes in dc-augmented capacitively coupled plasmas I. Fundamental characteristics

High energy electron fluxes in dc-augmented capacitively coupled plasmas I. Fundamental characteristics JOURNAL OF APPLIED PHYSICS 107, 023308 2010 High energy electron fluxes in dc-augmented capacitively coupled plasmas I. Fundamental characteristics Mingmei Wang 1,a and Mark J. Kushner 2,b 1 Department

More information

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein a) Department of Physics, University at Albany, State University of

More information

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Wing H. Ng* a, Nina Podoliak b, Peter Horak b, Jiang Wu a, Huiyun Liu a, William J. Stewart b, and Anthony J. Kenyon

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-28-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD Meredith

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers Negin Golshani, Vahid Mohammadi, Siva Ramesh, Lis K. Nanver Delft University of Technology The Netherlands ESSDERC

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Ultra High-Speed InGaAs Nano-HEMTs

Ultra High-Speed InGaAs Nano-HEMTs Ultra High-Speed InGaAs Nano-HEMTs 2003. 10. 14 Kwang-Seok Seo School of Electrical Eng. and Computer Sci. Seoul National Univ., Korea Contents Introduction to InGaAsNano-HEMTs Nano Patterning Process

More information

Control of plasma kinetics for microelectronics fabrication

Control of plasma kinetics for microelectronics fabrication Control of plasma kinetics for microelectronics fabrication by Sang-Heon Song A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Nuclear Engineering

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity

Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity Ankur Agarwal University of Illinois, Department of Chemical and Biomolecular Engineering, Urbana,

More information

DYNAMICS OF NONLINEAR PLASMA-CIRCUIT INTERACTION *

DYNAMICS OF NONLINEAR PLASMA-CIRCUIT INTERACTION * Seminar in Plasma Aided Manufacturing University of Wisconsin, Madison, Wisconsin September 18, 1998. DYNAMICS OF NONLINEAR PLASMA-CIRCUIT INTERACTION * SHAHID RAUF Department of Electrical & Computer

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

A Flexible Fabrication Process for RF MEMS Devices

A Flexible Fabrication Process for RF MEMS Devices ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 3, 2011, 259 268 A Flexible Fabrication Process for RF MEMS Devices F. GIACOMOZZI, V. MULLONI, S. COLPO, J. IANNACCI, B. MARGESIN,

More information

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs

Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs MBE 2008, Vancouver, B.C. Planarization and Regrowth of Self-Aligned Ohmic Contacts on InGaAs Mark Wistey, Greg Burek, Uttam Singisetti, Austin Nelson, Brian Thibeault, Joël Cagnon, Susanne Stemmer, Arthur

More information

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Page 1 Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Fraunhofer Infineon Technologies Dresden Infineon Technologies München

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Ion beam etch and deposition systems

Ion beam etch and deposition systems Ion beam etch and deposition systems The Business of Science Ion beam systems Ion beam technology offers unique abilities in etch and deposition Oxford Instruments offers a single tool, allowing the flexibility

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications

High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications High Voltage Normally-off GaN MOSC- HEMTs on Silicon Substrates for Power Switching Applications Zhongda Li, John Waldron, Shinya Takashima, Rohan Dayal, Leila Parsa, Mona Hella, and T. Paul Chow Department

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

High Power RF MEMS Switch Technology

High Power RF MEMS Switch Technology High Power RF MEMS Switch Technology Invited Talk at 2005 SBMO/IEEE MTT-S International Conference on Microwave and Optoelectronics Conference Dr Jia-Sheng Hong Heriot-Watt University Edinburgh U.K. 1

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

Ion energy distributions for collisional ion sheaths at an rf-biased plasma electrode

Ion energy distributions for collisional ion sheaths at an rf-biased plasma electrode Ion energy distributions for collisional ion sheaths at an rf-biased plasma electrode Xueying Victor Qin Department of Electrical and Computer Engineering, University of Wisconsin-Madison Abstract. In

More information

SQUID Test Structures Presented by Makoto Ishikawa

SQUID Test Structures Presented by Makoto Ishikawa SQUID Test Structures Presented by Makoto Ishikawa We need to optimize the microfabrication process for making an SIS tunnel junction because it is such an important structure in a SQUID. Figure 1 is a

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Optimized Process Performance Using the Paramount /Navigator Power- Delivery/Match Solution

Optimized Process Performance Using the Paramount /Navigator Power- Delivery/Match Solution Optimized Process Performance Using the Paramount /Navigator Power- Delivery/Match Solution Dan Carter, Advanced Energy Industries, Inc. Numerous challenges face designers and users of today s RF plasma

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s16/ecse

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

Quantitative Low Current Ion Beam Characterization by Beam Profiling and Imaging via Scintillation Screens

Quantitative Low Current Ion Beam Characterization by Beam Profiling and Imaging via Scintillation Screens Quantitative Low Current Ion Beam Characterization by Beam Profiling and Imaging via Scintillation Screens 17.03.2016, Mühlleithen XIII. Erfahrungsaustausch: Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen,

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION INTERCONNECT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template Supporting Information High throughput ultra-long (20cm) nanowire fabrication using a wafer-scale nanograting template Jeongho Yeon 1, Young Jae Lee 2, Dong Eun Yoo 3, Kyoung Jong Yoo 2, Jin Su Kim 2,

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016 ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING Giles Lloyd Flex Europe Conference, 25th October 2016 Organic Electronics: Photoligthography or Printing? Lithography Printing Enabling flexible TFT sheet-fed

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

MULTI-FREQUENCY OPERATION OF RIE AND ICP SOURCES *

MULTI-FREQUENCY OPERATION OF RIE AND ICP SOURCES * 45th International Symposium of the American Vacuum Society Baltimore, Maryland November 2-6, 1998. MULTI-FREQUENCY OPERATION OF RIE AND ICP SOURCES * Shahid Rauf and Mark J. Kushner Department of Electrical

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Wireless Metrology in Semiconductor Manufacturing

Wireless Metrology in Semiconductor Manufacturing 1 Wireless Metrology in Semiconductor Manufacturing Costas J. Spanos Seminar 2 Outline Historical perspective Hardware and software applications Breakthroughs that have yet to be realized Distributed control

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

GaN power electronics

GaN power electronics GaN power electronics The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Lu, Bin, Daniel Piedra, and

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Characterization of SC CVD diamond detectors for heavy ions spectroscopy

Characterization of SC CVD diamond detectors for heavy ions spectroscopy Characterization of SC CVD diamond detectors for heavy ions spectroscopy Characterization of SC CVD diamond detectors for heavy and ions MIPsspectroscopy timing and MIPs timing Michal Pomorski and GSI

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia SiPM development within the FBK/INFN collaboration G. Ambrosi INFN Perugia 2 FBK Trento (IT) Clean room «Detectors»: - 500m2-6 wafers - Equipped with: ion implanter 8 furnaces wet etching dry etching lithography

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Development of n-in-p Active Edge Pixel Detectors for ATLAS ITK Upgrade

Development of n-in-p Active Edge Pixel Detectors for ATLAS ITK Upgrade Development of n-in-p Active Edge Pixel Detectors for ATLAS ITK Upgrade Tasneem Rashid Supervised by: Abdenour Lounis. PHENIICS Fest 2017 30th OUTLINE Introduction: - The Large Hadron Collider (LHC). -

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Quartz Disc Storage Systems

Quartz Disc Storage Systems Quartz Disc Storage Systems By Christopher Henderson In this short section we will cover a recently developed technology for storing data on a quartz disc. Researchers demonstrate a high-density five dimensional

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED FOUNDRY SERVICE 01.04. Foundry services have been one of the core businesses at SEI, providing sophisticated GaAs IC technology for all customers. SEI offers very flexible service to support the customers

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing Hitachi Review Vol. 52 (2003), No. 3 125 Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing Kenji Watanabe, Dr. Eng. Aritoshi Sugimoto Mari Nozoe OVERVIEW:

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information